首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
介绍了数字通信中交织解交织的基本原理,提出了基于比特交织与解交织的实现方案。此方案采用以串入并出移位寄存器、交织解织运算逻辑电路和并入串出移位寄存器为核心的组成方式,并用CPLD芯片EPM7128对交织解交织器进行了设计实现。  相似文献   

2.
DVB-C解交织器的FPGA实现   总被引:2,自引:0,他引:2  
本文分析了卷积交织和解交织的基本原理,然后采用Altera的FPGA器件,用RAM分区循环移位法来实现解交织器。无论从理论上,还是从计算机仿真和综合结果上来分析,都可以看出用这种方法来实现DVB-C解交织器能有效地节省硬件资源。  相似文献   

3.
本文首先介绍了交织解交织的基本原理,并针对数字视频广播系统提出了优化方案,着重分析了其工作原理及各组成模块的具体设计,采用Vetilog HDL编写程序,最后用FPGA加以实现。  相似文献   

4.
王玲 《今日电子》2001,(12):17-18,16
交织和解交织是组合信道纠错系统的一个重要环节,交织器和解交织器的实现方法有多种。本文利用Altera公司开发的Quartus软件平台和仿真环境,设计一种交织器和解交织器FPGA电路单倍实现的方法,并分析该电路实现的特点。 外交织的基本原理 实际信道中产生的错误往往是突发错误或突发错误与随机错误并存,如果首先把突发错误离散成随机错误,然后再去纠随机错误,那么系统的抗干扰性能就会进一步得到提高。交织器的作用就是将比较长的突发错误或多个突发错误离散成随机错误,即把错误离散化。交织器按交织方式可分为交织深度固定的交织器(如分组交织器和卷积交织器)和交织深度不断变化的随机交织器;按交织对象可分为码元交织器和码段交织  相似文献   

5.
用块RAM实现卷积交织解交织   总被引:2,自引:0,他引:2  
本文详细介绍了用块RAM来实现卷积交织-解交织的原理和设计,以J.83标准中的卷积交织器例进行了说明,在此基础上找到了用块RAM实现卷积交织-解交织器的一般规律。  相似文献   

6.
纠错编码系统交织器的设计   总被引:1,自引:0,他引:1  
介绍了纠错编码系统中交织器的作用,以及交织器的几种类型的特性和实现方法,着重讨论了具有高度应变能力的伪随机交织器,最后对各种交织方法在不同干扰下的性能作了分析与对比,得出了一些基本结论。  相似文献   

7.
考虑到超宽带无线通信系统在高精度定位中需要极低的误码率,而实际通信中比特差错经常成串发生,为此在MB?OFDM?UWB通信中采用一种交织技术将连续误码分散成非连续误码,这样信道的突发错误在时间上得以扩散,使得此类误码大为降低,在接收端利用解交织器完成反交织。以上方案利用FPGA编程实现,并下载到目标板中,使用ChipScope在线测试和验证设计的正确性。  相似文献   

8.
确定性交织器的研究   总被引:6,自引:0,他引:6  
本文分析了交织器在turbo码中的重要作用,以及随机交织器存在的缺陷,并提出了一种确定性交织器的设计方法。该交纪念品器具有简明的解析表达式,易于实现。计算机仿真结果表明,该确定交织器可以获得的性能优于随机交织器的平均性能。  相似文献   

9.
将块交织的交织或解交织的长方形块扩展为一个正方形,如果第一次是按行写入按列读出,则第二次按列写入按行读出,这样第二次写入与第一次读出在同一块存储器中基本同时进行,而又不会将第一次未处理的数据冲掉。如此一直交替下去,就只需要一块扩展后的存储器来完成交织或解交织,大大降低了需要的存储器的大小。同时在存储器的前面加一块小缓存,来增加存储器的位宽,便于处理。  相似文献   

10.
数字电视地面传输标准DVB-T技术在全球都获得了广泛的应用。它为基于电视网络的电子商务、银行业务和网络浏览等方面的技术实现提供了可能性。内交织是DVB—T中的一个组成部分。分析了内交织,提出了一种内交织和解交织器的实现方式。  相似文献   

11.
本文主要介绍了在第三代移动通信WCDMA系统中Turbo/MAP译码部分交织解交织模块的FPGA实现方案。该方案把交织图样的预计算和快速的硬件查表法巧妙相结合,从而有效地节省了Turbo码译码资源,缩短了译码时间。  相似文献   

12.
本文主要介绍了在第三代移动通信WCDMA系统中Turbo/MAP译码部分交织解交织模块的FPGA实现方案.该方案把交织图样的预计算和快速的硬件查表法巧妙相结合,从而有效地节省了Turbo码译码资源,缩短了译码时间.  相似文献   

13.
ATSC数字电视标准中Trellis编码器及解交织器的FPGA实现   总被引:1,自引:0,他引:1  
介绍了信道编码中网格编码调制和交织编码的基本原理,重点介绍了以上两种ATSC数字电视标准下的具体实现方法,并着重讨论了Trellis编码器以及解交织器的FPGA硬件实现。  相似文献   

14.
基于DVB-C的卷积解交织器的设计与实现   总被引:3,自引:0,他引:3       下载免费PDF全文
朱敏君  吴建辉  吴俊   《电子器件》2007,30(2):579-581
本文设计了一种基于DVB-C的卷积解交织器,利用两个静态随机存取存储器(SRAM)来实现.用一SRAM来存储解交织的数据,读写共用一个地址,由基地址和偏移地址组成.同时,用另一SRAM来存储偏移地址.结果表明,卷积解交织器能实现功能,而且减小了电路复杂度,缩小了面积.  相似文献   

15.
对于个人通信中的短帧传输情况,设计了一种用CPLD实现的短帧交织与解交织系统。结合Altera公司的Max+Plus Ⅱ软件开发平台,详细说明了A型分组交织器的设计过程以度仿真结果并对其性能进行了分析。仿真结果表明,他可以适应高速数据传输中的短帧传输情况,同时谊交织器具有复杂度低、通用性强的特点。  相似文献   

16.
卷积交织器和解交织器的VHDL设计和FPGA实现   总被引:4,自引:0,他引:4  
梁小萍  肖嵩 《现代电子技术》2004,27(20):102-103,108
介绍了信道编码中所采用的前向纠错编码(FEC)方案中的重要技术——卷积交织器和解交织器的原理,并在此基础上提出了一种VHDL设计和FPGA实现方案,给出了具体的实现方法,该方法具有实现简单和占用资源少的优点。  相似文献   

17.
在通信系统中,交织器的选择具有重要的地位。首先研究了多项式交织器,分析了其设计原则,其可以用置换多项式表示,接收端通过逆多项式解交织,从而节约了发送和接收时间,减小了传输压力。然后通过星座点分布图比较了其与S交织器的异同。最后将两种交织器应用在LTE和重叠编码复用平台上,通过仿真,综合各方面因素,验证了多项式交织器性能优于S交织器。  相似文献   

18.
交织编码在3G系统中已经大量使用,数据通过卷积编码后进行分组交织,在接收端完成反交织,将连续误码分散成非连续误码,从而使得误码在纠错允许的范围内得到纠正;CPLD(复杂可编程逻辑器件)具有方便的在线编程功能,可以用来实现交织编码;考虑到矩阵交织器的多样性而设计的通用矩阵交织器,只须通过修改一些参数值即可实现不同的交织器,大大节省了设计时间。  相似文献   

19.
针对螺旋形交织器提出一种交织长度的盲识别方法,根据线性分组码中校验码元与信息码元间的线性相关性和交织器的作用,将截获的数据序列按列数不同排成矩阵,经过高斯约当消元后计算其下三角矩阵中含0列的比例的平均值来实现对交织长度的盲识别。仿真结果表明该方法可以实现对螺旋形交织器交织长度的识别,在误码率不大于0.003时,识别准确率可达80%以上。  相似文献   

20.
基于FPGA的Turbo译码交织器设计   总被引:1,自引:0,他引:1  
介绍了一种Turbo译码交织器的现场可编程门阵列(Field Programmable Gate Array,FPGA)硬件实现方案,将交织算法的软件编程和FPGA内部的硬件存储块相结合,有效地降低了译码器的硬件实现复杂度,减小了译码延时,并且给出了具体的译码器内交织器FPGA实现原理框图。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号