首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
This paper develops a new advanced process control (APC) system for the multiple-input multiple-output (MIMO) semiconductor processes using the partial least squares (PLS) technique to provide the run-to-run control with the virtual metrology data, via the gradual mode or the rapid mode depending on the current system status, in order to deal with metrology delays and compensate for different types of system disturbances. First, we present a controller called the PLS-MIMO double exponentially weighted moving average (PLS-MIMO DEWMA) controller. It employs the PLS method as the model building/estimation technique to help the DEWMA controller generate more consistent and robust control outputs than purely using the conventional DEWMA controller. To cope with metrology delays, the proposed APC system uses the pre-processing metrology data to build up the virtual metrology (VM) system that can provide the estimated process outputs for the PLS-MIMO DEWMA controller. Lastly, the Fault Detection (FD) system is added based upon the principal components of the PLS modeling outcomes, which supplies the process status for the VM mechanism and the PLS-MIMO DEWMA controller as to how the process faults are responded. Two scenarios of the simulation study are conducted to illustrate the APC system proposed in this paper.  相似文献   

2.
This paper reports on the generation of spatially confined plasmas and their application to silicon etching. The etching is performed using SF6 gas and dc power applied between thin-film electrodes patterned on the silicon wafer to be etched. The electrodes also serve as a mask for the etching. The typical operating pressure and power density are in the range of 1-20 Torr and 1-10 W/cm2, respectively. The plasma confinement can be varied from <100 μm to >1 cm by varying the electrode area, operating pressure, and power. High power densities can be achieved at moderate currents because the electrode areas are small. Etch rates of 4-17 μm/min., which enable through-wafer etching and varying degrees of anisotropy, have been achieved. The etch rate increases with power density, whereas the etch rate per unit power density increases with operating pressure. Scaling effects are explored for varying sized mask openings. Plasma resistance measurements and electric field modeling are used to provide an initial assessment of the microplasmas  相似文献   

3.
《Control Engineering Practice》2007,15(10):1268-1279
The quality control of integrated circuit (IC) processing is becoming more and more important as the wafer becomes larger and the feature size shrinks. However, an advanced IC fabrication process consists of 300+ steps with scarce and usually difficult quality measurements. Thus product yield may not be realized until months into production while in-line measurements are available on the order of a millisecond. The series production nature and measurement setup lead to a unique process control problem. In this work, typical disturbances are explained and the possibility for inferential control is explored. This leads to a control architecture with multiple layers in a cascade structure. Next, the rapid thermal processing (RTP) is used to illustrate recipe generation and control structure design at the tool level. The resultant multivariable controller gives satisfactory setpoint tracking for a triangular-like temperature program. Effective delay in a feedback loop at the process level is also clarified which can be used to design a run-to-run controller or to prioritize the measurement queue for the metrology tool. In order to prolong the time between maintenance and to reduce rework, process trend monitoring of a tool is essential. Instead of using entire batch data, a key process variable is identified and an index is computed to capture dynamic behavior of the tool. An IC processing example is used to illustrate this approach and results clearly indicate that process trend is well predicted using the index-based time-series model. Finally, future research directions for improved semiconductor manufacturing are also described.  相似文献   

4.
Artificial neural network, particularly the backpropagation neural network (BPNN), has been used to construct a prediction model of plasma processes. In building a BPNN model, many training factors are typically involved and the most difficult factor is the initial weight distribution (IWD). In this study, a technique to optimize the IWD effect on BPNN prediction performance is presented. This was accomplished by using genetic algorithm (GA). The experimental data were collected from the etching of silica thin films in a CHF3-CF4 inductively coupled plasma. The etch process was statistically characterized and the etch responses to model include silica etch rate, Al etch rate, Al selectivity, and silica profile angle denoted as anisotropy. The effect of GA parameters (mutation and crossover probabilities) was also evaluated by conducting a 42 full factorial experiment. The performances of GA-BPNN models were compared to those for conventional models. The comparison revealed an improved prediction of GA-BPNN models for all etch responses. The improvement was even more than 15% for all but the Al etch rate data. The proven improvements support the finding that the presented technique is effective in optimizing an IWD effect on BPNN modeling.  相似文献   

5.
H. Boehm 《Automatica》1982,18(6):665-673
Dry etch processes are relatively new in the field of the manufacture of solid-state components. Standard equipment, however, is normally not prepared for more complex control requirements. It was, therefore, the aim of this paper to show, using a pilot installation, that adaptive control is able to solve development demands concerning working point variations during one run and to help the process engineer to investigate a new tool. For this purpose dry etch equipment has been interfaced with a microcomputer containing a real-time control system with adaptive control methods. Four nonlinear process variables are adaptively controlled with a recursive least-squares estimation method combined with a deadbeat controller. The starting fluctuation of the model parameters and their behavior after setpoint changes are shown. Experience gained with this microcomputer-based system will be discussed, and it will also be shown that development time can be saved by using such a system.  相似文献   

6.
Through glass via (TGV) technology is considered to be a cost effective enabler for the integration of micro electromechanical systems and radio frequency devices. Inductively coupled plasma and Bosch etching process comprise one of the most pervasive methods for through silicon via (TSV) formation. Unfortunately an equivalent process for glass etching remains elusive. In this paper, the influence of plasma etching for fused silica glass were investigated to find the best tradeoff between etch rate and profile of TGVs. The process parameters including bias power, gas flow rate, ratio of etching gases and reaction chamber pressure using Ar/C4F8 inductively coupled plasmas were studied. The etching results show that all these three parameters have a significant impact on the etch rate. Furthermore, the adjustment including total flow rate and ratio of Ar/C4F8 and chamber pressure can be used to control the via profile. Constant fused silica glass etch rate greater than 1 μm/min was obtained when chiller temperature was 40 °C with etching time of 60 min. The profile angle of TGVs with nearly 90° was also achieved.  相似文献   

7.
A new strategy for regulating a plasma discharge is presented. This is accomplished by using a fuzzy logic and a non-invasive in-situ plasma sensor. Using the sensor, fundamental plasma characteristics were collected and these characteristics include plasma density, ion flux, and electron temperature. For the collected data, fuzzy logic was used to identify the relationship between the process parameters and plasma characteristics. The process parameters of concern include a radio frequency source power, SiH4 flow rate, and N2 flow rate. For each of the plasma characteristics, a fuzzy logic model was developed. Another fuzzy controller was then applied to regulate the plasma characteristics as a function of the process parameters. Evaluation of the presented control model shows an error of less than 3% in most of the plasma characteristics. The performance of the plasma regulator under noisy environments provided information useful for regulator design, such as the existence of a minimum level of noises and the high sensitivity of fuzzy logic models to noises in a regulation system with high regulation errors.  相似文献   

8.
Mechanisms of etch hillock formation   总被引:1,自引:0,他引:1  
We have studied the formation of etch hillock defects during anisotropic etching of (100) silicon in KOH. Defect density is correlated with low etchant concentration and high etch temperature. Cathodic etch experiments indicate that hillocks form under conditions of decreased OH- ion concentration. The activation energy for defect formation is 1.2 eV, considerably higher than the energy associated with silicon removal. We propose a mechanism to explain hillock formation that involves nucleation by silicon redeposited from the etch solution. The incidence of hillocks in this model is the result of a competition between the forward and reverse etch reactions. Examination of defects by electron microscopy suggests that growth occurs preferentially on slow-etching planes, in agreement with the model predictions  相似文献   

9.
Virtual metrology (VM) is the prediction of metrology variables (either measurable or non-measurable) using process state and product information. In the past few years VM has been proposed as a method to augment existing metrology and has the potential to be used in control schemes for improved process control in terms of both accuracy and speed. In this paper, we propose a VM based approach for process control of semiconductor manufacturing processes on a wafer-to-wafer (W2W) basis. VM is realized by utilizing the pre-process metrology data and more importantly the process data from the underlying tools that is generally collected in real-time for fault detection (FD) purposes. The approach is developed for a multi-input multi-output (MIMO) process that may experience metrology delays, consistent process drifts, and sudden shifts in process drifts. The partial least squares (PLS) modeling technique is applied in a novel way to derive a linear regression model for the underlying process, suitable for VM purposes. A recursive moving-window approach is developed to update the VM module whenever metrology data is available. The VM data is then utilized to develop a W2W process control capability using a common run-to-run control technique. The proposed approach is applied to a simulated MIMO process and the results show considerable improvement in wafer quality as compared to other control solutions that only use lot-to-lot metrology information.  相似文献   

10.
The process of pattern transfer of desired topological integrated circuits features into silicon or other semiconductor compounds plays a critical role for the production of microelectronic and photonic devices, and micro- and nanoelectromechanical systems. Any deviation from the desired shape of the pattern limits density, yield, and reliability of these devices. Gas reactivity, pressure, ion, electron, and reactant transport to the surface, and product transport away from the surface, have been identified as important issues that control the microscopic uniformity in high aspect ratio etching. A plasma etching simulation software, containing corresponding physical models, can be used to establish a link between etch process parameters such as pressure, rf power, etching gas chemistry, temperature, and the physical and chemical process parameters like energy and angular distribution of ions and neutrals, radical sticking, and surface charging. ViPER (Virtual Plasma Etch Reactor) is a full featured plasma processing simulation software developed at Ilmenau University of Technology, Department of Microelectronic and Nanoelectronic Systems (MNES) [http://www.tu-ilmenau.de/en/mne-mns/research/plasma-etching/viper-download]. The simulator allows a deep analysis of the most significant effects like reactive ion etching lag, charging, notching, bowing, faceting, microtrenching, profile shape dependence, and gives more insight into the physical phenomena occurring in the plasma reactor during plasma etching process, helping engineers to understand how plasma etching works.  相似文献   

11.
Semiconductor manufacturing processes are very long and complex, needing several hundreds of individual steps to produce the final product (chip). In this context, the early detection of process excursions or product defects is very important to avoid massive potential losses. Metrology is thus a key step in the fabrication line. Whereas a 100 % inspection rate would be ideal in theory, the cost of the metrology devices and cycle time losses due to these measurements would completely inhibit such an approach. On another hand, the skipping of some measurements is risky for quality assurance and processing machine reliability. The purpose is to define an optimized quality control plan that reduces the required capacity of control while maintaining enough trust in quality controls. The method adopted by this research is to employ a multi-objective genetic algorithm to define the optimized control plan able to reduce the used metrology capacity without increasing risk level. Early results based on one month of real historical data computation reveal a possible reallocation of controls with a decrease by more than 15 % of metrology capacity while also reducing the risk level on the processing machine (expressed by the wafer at risk (\(W\!@\!R\))) by 30 %.  相似文献   

12.
In semiconductor manufacturing, wafer quality control strongly relies on product monitoring and physical metrology. However, the involved metrology operations, generally performed by means of scanning electron microscopes, are particularly cost-intensive and time-consuming. For this reason, in common practice a small subset only of a productive lot is measured at the metrology stations and it is devoted to represent the entire lot. Virtual Metrology (VM) methodologies are used to obtain reliable predictions of metrology results at process time, without actually performing physical measurements. This goal is usually achieved by means of statistical models and by linking process data and context information to target measurements. Since semiconductor manufacturing processes involve a high number of sequential operations, it is reasonable to assume that the quality features of a given wafer (such as layer thickness and critical dimensions) depend on the whole processing and not on the last step before measurement only. In this paper, we investigate the possibilities to enhance VM prediction accuracy by exploiting the knowledge collected in the previous process steps. We present two different schemes of multi-step VM, along with dataset preparation indications. Special emphasis is placed on regression techniques capable of handling high-dimensional input spaces. The proposed multi-step approaches are tested on industrial production data.  相似文献   

13.
This work develops a dynamic, first principles-based model of a reactive distillation column used for benzene hydrogenation of a reformate stream and investigates different control structures for this process. The model is used initially to develop and evaluate a feedback control strategy which provides good regulatory performance for small disturbances, however, it tends to be sluggish for significant disturbances in the feed composition. In order to address this point, adding a feedforward controller to the feedback structure has also been investigated. However, the feedforward controller can only be implemented if composition measurements of the feed are taken. As online composition measurements are expensive in practice, several different scenarios have been investigated where samples of the feed are taken and subsequently analyzed in a lab, as represented by measurement time delays. Simulation results show that adding feedforward control to the feedback scheme can be very beneficial for this process, however, this is only the case if the composition disturbance measurements do not involve a significant time delay.  相似文献   

14.
Virtual metrology involves the estimation of metrology values using a prediction model instead of metrological equipment, thereby providing an efficient means for wafer-to-wafer quality control. Because wafer characteristics change over time according to the influence of several factors in the manufacturing process, the prediction model should be suitably updated in view of recent actual metrology results. This gives rise to a trade-off relationship, as more frequent updates result in a higher accuracy for virtual metrology, while also incurring a heavier cost in actual metrology. In this paper, we propose an intelligent virtual metrology system to achieve a superior metrology performance with lower costs. By employing an ensemble of artificial neural networks as the prediction model, the prediction, reliability estimation, and model update are successfully integrated into the proposed virtual metrology system. In this system, actual metrology is only performed for those wafers where the current prediction model cannot perform reliable predictions. When actual metrology is performed, the prediction model is instantly updated to incorporate the results. Consequently, the actual metrology ratio is automatically adjusted according to the corresponding circumstances. We demonstrate the effectiveness of the method through experimental validation on actual datasets.  相似文献   

15.
The purpose of virtual metrology (VM) in semiconductor manufacturing is to support process monitoring and quality control by predicting the metrological values of every wafer without an actual metrology process, based on process sensor data collected during the operation. Most VM-based quality control schemes assume that the VM predictions are always accurate, which in fact may not be true due to some unexpected variations that can occur during the process. In this paper, therefore, we propose a means of evaluating the reliability level of VM prediction results based on novelty detection techniques, which would allow flexible utilization of the VM results. Our models generate a high-reliability score for a wafer’s VM prediction only when its process sensor values are found to be consistent with those of the majority of wafers that are used in model building; otherwise, a low-reliability score is returned. Thus, process engineers can selectively utilize VM results based on their reliability level. Experimental results show that our reliability generation models are effective; the VM results for wafers with a high level of reliability were found to be much more accurate than those with a low level.  相似文献   

16.
In this paper, design and control of a realistic coupled reactor/column process to produce ethyl acetate is studied. The process design is more complicated because the ethyl acetate product is neither the lightest nor the heaviest component in the system. A search procedure is proposed to obtain the optimum process design and operating condition of this process. The optimum process design is the one that minimize the Total Annual Cost (TAC) of this process while satisfying the stringent product impurity specifications. The optimum overall process design includes a continuous-stirred tank reactor (CSTR) coupled with a rectifier, a decanter, another stripper, and a recycle stream. After the process design is established, the next step is to use dynamic simulation to test the appropriate control strategy for this process. Sensitivity analysis is performed to obtain the suitable temperature control points for the columns. The proposed control strategy is very simple containing only one temperature control loop in each column. This recommended simpler control strategy uses the ratio of acetic acid feed rate to ethanol feed rate to control the 5th stage temperature of the rectifier and uses the stripper reboiler duty to control the 5th stage temperature of the stripper. The proposed control strategy does not need any on-line composition measurements and can properly hold product purity in spite of feed flow rate and feed composition disturbances. For small deviations of the product impurity compositions during disturbances, a slow cascade outer composition loop structure can be implemented using off-line composition measurements from the quality lab.  相似文献   

17.
针对化学机械研磨(CMP)过程非线性、时变和产品质量不易在线测量的特性,提出了一种基于T-S模糊模型的CMP过程智能run-to-run(R2R)预测控制器FIPR2R;通过G-K聚类算法和最小二乘法对CMP过程的T-S模糊预测模型离线辨识,解决了复杂CMP过程难以建立精确数学模型的难题和提高了模型预测精度;通过双指数加权移动平均(dEWMA)中对过程扰动及漂移进行估计的方法实现反馈校正和基于克隆选择算法的滚动优化求取最优控制律,提高了控制精度;性能分析结果表明,FIPR2R控制器的控制性能优于dEWMA方法,有效抑制了过程扰动和漂移的影响。  相似文献   

18.
The deposition of in situ boron-doped polycrystalline silicon-germanium (poly-SiGe) films in a conventional low-pressure chemical-vapor deposition reactor has been characterized using the design of experiments method. The dependencies of deposition rate, resistivity, average residual stress, strain gradient, and wet etch rate in hydrogen peroxide solution are presented. Structural layer requirements for general microelectromechanical system applications can be met within the process temperature constraint imposed by complementary metal-oxide-semiconductor (CMOS) electronics. However, residual stress and strain gradient requirements for inertial sensor applications will be difficult to meet with a single homogeneous layer of poly-SiGe that is about 2 mum thick. By correlating stress depth profile measurements with cross-sectional transmission electron microscopy images, we conclude that the large strain gradient is due to highly compressive stress in the lower (initially deposited) region of the film. For films deposited at very low temperature (near the range of amorphous film deposition), in situ boron doping enhances film crystallinity and reduces the strain gradient  相似文献   

19.
Electromagnetic wave propagation in turbulent plasma media during reentry is being investigated. Emphasis is placed on the effects of electron density fluctuations on electromagnetic wave propagation. The objective of this paper is to specify the source of noise in electromagnetic signal reception due to turbulence in the flow about a high velocity flight vehicle. A review of existing ground and flight test data is conducted, including electron density fluctuation measurements. Analytical algorithms deduced from first principles are being developed and validated with experimental measurements. A Navier-Stokes model, three-dimensional parabolized Navier-Stokes, and a coupled boundary-layer and inviscid flow codes are used to estimate the mean and variance of the electron density on the frustum and at the vehicle’s base region. Analytical and numerical solutions solving Maxwell’s equations and a commercial off the shelf code are used to estimate the phenomena of electromagnetic wave propagation in inhomogeneous plasma media. Results include the estimation of signal attenuation and phase shift induced by the mean electron density and by electron density fluctuations in the flowfield. Comparisons are made between the predictions and available data.  相似文献   

20.
The outer layers of the Earth’s atmosphere are known as the ionosphere, a plasma of free electrons and positively charged atomic ions. The electron density of the ionosphere varies considerably with time of day, season, geographical location and the sun’s activity. Maps of electron density are required because local changes in this density can produce inaccuracies in the Navy Navigation Satellite System (NNSS) and Global Positioning System (GPS). Satellite to ground based receiver measurements produce tomographic information about the density in the form of path integrated snapshots of the total electron content which must be inverted to generate electron density maps. A Bayesian approach is proposed for solving the inversion problem using spatial priors in a parsimonious model for the variation of electron density with height. The Bayesian approach to modelling and inference provides estimates of electron density along with a measure of uncertainty for these estimates, leading to credible intervals for all quantities of interest. The standard parameterisation does not lend itself well to standard Metropolis-Hastings algorithms. A much more efficient form of Markov chain Monte Carlo sampler is developed using a transformation of variables based on a principal components analysis of initial output.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号