首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Anomalous capacitance-voltage behavior of arsenic-implanted polysilicon and amorphous Si gate MOS structures fabricated with and without a TiSi2 layer is reported. The C-V characteristics and specifically the inversion and accumulation capacitances are gate-bias-dependent and are strongly affected by annealing temperature, silicidation, and polysilicon gate microstructure (i.e. polysilicon versus amorphous gate). The results can be explained by insufficient As redistribution, coupled with carrier trapping, and As segregation at polysilicon grain boundaries and in TiSi2. All these effects lead to the formation of a depletion region in the polysilicon gate and thus to the anomalous C-V behavior  相似文献   

2.
The gate oxide thickness for tungsten (W) polycide gate processes is studied, with tungsten silicide (WSix) deposited either by chemical vapor deposition (CVD) or sputtering. For WSix deposited by CVD, it is found that the effective thickness of gate oxide as determined by CV measurement increases in all cases if the annealing temperature is 900°C or higher. However, high-resolution transmission electron microscopy (TEM) measurement indicates that the physical thickness does not change after a 900°C anneal. In this case, the dielectric constant of the gate oxide decreases by 7%. As the annealing temperature increases to 1000°C, CV and TEM measurements give the same thickness and the decrease of the dielectric constant disappears. In contrast, for WSix film deposited by sputtering, annealing at 900°C has no effect on the gate oxide thickness as measured by CV and TEM  相似文献   

3.
The C-V characteristics of arsenic-doped polysilicon show a gate-bias dependence of the inversion capacitance and a reduction in the expected value of the inversion capacitance. The characteristics have been investigated with quasistatic and high-frequency C-V as well as conductance measurements of various capacitors that have been subjected to annealing times and temperatures ranging from 900°C/30 min to rapid thermal annealing at 1050°C. The results can be explained by assuming that there is a depletion region forming in the polysilicon due to insufficient activation of the dopant at the polysilicon/oxide surface. The impact of this condition on the device characteristics is shown to be a 20-30% reduction in the Gm of NMOS transistors with 125-Å Gate oxide thickness  相似文献   

4.
The 1/f noise in normally-on MODFETs biased at low drain voltages is investigated. The experimentally observed relative noise in the drain current SI/I2 versus the effective gate voltage VG=VGS-Voff shows three regions which are explained. The observed dependencies are SI/I2VG m with the exponents m=-1, -3, 0 with increasing values of VG. The model explains m =-1 as the region where the resistance and the 1/f noise stem from the 2-D electron gas under the gate electrode; the region with m=0 at large VG or VGS≅0 is due to the dominant contribution of the series resistance. In the region at intermediate VG , m=-3, the 1/f noise stems from the channel under the gate electrode, and the drain-source resistance is already dominated by the series resistance  相似文献   

5.
It is reported that fluorine can jeopardize p+-gate devices under moderate annealing temperatures. MOSFETs with BF2 or boron-implanted polysilicon gates were processed identically except at gate implantation. Evidence of boron penetration through 12.5-nm oxide and a large quantity of negative charge penetration (10 12 cm-2) by fluorine even at moderate annealing conditions is reported. The degree of degradation is aggravated as fluorine dose increases. A detailed examination of the I-V characteristics of PMOSFET with fluorine incorporated p+-gate revealed that the long gate-length device had abnormal abrupt turn-on Id-Vg characteristics, while the submicrometer-gate-length devices appeared to be normal. The abnormal turn-on Id-Vg characteristics associated with long-gate-length p+-gate devices vanished when the device was subjected to X-ray irradiation and/or to a high-voltage DC stressing at the source/drain. The C-V characteristics of MOS structures of various gate dopants, processing ambients, doping concentrations, and annealing conditions were studied. Based on all experimental results, the degradation model of p+-gate devices is presented. The incorporation of fluorine in the p+ gate enhances boron penetration through the thin gate oxide into the silicon substrate and creates negative-charge interface states. The addition of H/OH species into F-rich gate oxide will further aggravate the extent of F-enhanced boron penetration by annealing out the negative-charge interface states  相似文献   

6.
Monte Carlo methods are used to compare electronic transport and device behavior in n+-AlxGa1-xAs/GaAs modulation-doped field-effect transistors (MODFETs) at 300 K for x =0.10, 0.15, 0.22, 0.30, 0.35, and 0.40. The differences between the x=0.22 and x=0.30 MODFETs with respect to parasitic conduction in AlxGa1-xAs, gate currents, and switching times, are of particular interest. The donor-related deep levels in AlxGa1-xAs, are disregarded by assuming all donors to be fully ionized, and the focus is only on the confinement and transport of the carriers. The following quantities are studied in detail: transfer characteristics (ID versus V G), transconductance (gm), switching speeds (τON), parasitic conduction in AlxGa 1-xAs, gate current (IG), average electron velocities and energies in GaAs and AlxGa1-x As, electron concentration in the device domain, k-space transfer (to low mobility L and X valleys), and details of the real-space transfer process  相似文献   

7.
Capacitance-voltage (CV) and current-voltage (I- V) measurements for SiOxNy films are compared with chemical data in order to provide some diagnostic capabilities in relating aberrant electrical characteristics with contaminants incorporated in the insulator film structure. In-process monitoring of film quality (utilizing electrical characteristics and chemical data) is especially critical in very large-scale integration (VLSI) processing control where the films are utilized both as an integral part of specific semiconductor device processing steps or as part of the semiconductor device structure  相似文献   

8.
Low-voltage silicon trench power MOSFETs with forward conductivities approaching the silicon limit are reported. Vertical trench power MOSFETs with the measured performances of VDB =55 V (Rsp=0.2 mΩ-cm2, k D=5.7 Ω-pF) and VDB=35 V (Rsp=0.15 mΩ-cm2, kD =4.3 Ω-PF) were developed where VDB is the drain-source avalanche breakdown voltage, Rsp is the specific on-state resistance, and kD=R spCsp is the input device technology factor where Csp is the specific MOS gate input capacitance. The optimum device performance resulted from an advanced trench processing technology that included (1) an improved RIE process to define scaled vertical silicon trenches, (2) silicon trench sidewall cleaning to reduce the surface damage, and (3) a novel polysilicon gate planarization technique using a sequential oxidation/oxide etchback, process. The measured performances are shown to be in excellent agreement with the two-dimensional device simulations and the calculated results obtained from an analytical model  相似文献   

9.
An optimal device structure for integrating bipolar and CMOS is described. Process design and device performance are discussed. Both the vertical n-p-n and MOS devices have non-overlapping super self-aligned (NOVA) structures. The base-collector and source/drain junction capacitances are significantly reduced. This structure allows complete silicidation of active polysilicon electrodes, cutting down the parasitic resistances of source, drain, and extrinsic base. The critical gate and emitter regions are protected from direct reactive ion etching exposure and damage. All shallow junctions are contacted by polysilicon electrodes which suppress silicide-induced leakage. An arsenic buried layer minimizes collector resistance and collector-substrate capacitance. A novel selective epitaxy capping technique suppresses lateral autodoping from the arsenic buried layer. Fully recessed oxide with polysilicon buffer layer is used to achieve a low defect density device isolation. CMOS with Leff=1.1 μm and W n/Wp=10 μm/10 μm exhibits averaged ring oscillator delay of 128 ps/stage. An n-p-n transistor with fT, of 14 GHz and low-power emitter-coupled logic ring oscillator with a delay of 97 ps/stage have been fabricated  相似文献   

10.
Simple kinetics calculations demonstrate that the well-known electronic energy pooling reaction involving O2(a 1Δ) is capable of producing an effective population inversion in O2(b1Σ). The densities of O2(a1Δ) which are potentially achievable suggest that the extractable energy storage density of an O 2(bX) chemical laser might exceed 0.5 MJ/m3. The bX emission lifetime measurements conducted under conditions of high relative O2( b1Σ) density reveal no evidence of rapid self-quenching effects which would be potentially detrimental to laser performance. The relatively long energy storage times predicted, together with freedom from reagent mixing requirements, make such a laser an attractive alternative to other existing and proposed short wavelength chemical lasers  相似文献   

11.
Magneto-transport and cyclotron resonance measurements were made to determine directly the density, mobility, and the effective mass of the charge carriers in a high-performance 0.15-μm gate In0.52 Al0.48As/In0.53Ga0.47As high-electron-mobility transistor (HEMT) at low temperatures. At the gate voltage VG=0 V, the carrier density n g under the gate is 9×1011 cm-2, while outside of the gate region ng=2.1×1012 cm-2. The mobility under the gate at 4.2 K is as low as 400 cm2/V-s when VG<0.1 V and rapidly approaches 11000 cm2/V-s when VG>0.1 V. The existence of this high mobility threshold is crucial to the operation of the device and sets its high-performance region in VG>0.1 V  相似文献   

12.
Accelerated life tests with high-temperature storage and electric aging for n+-p-n silicon planar transistors were carried out. Current gain hFE increases monotonously with time during the tests, and the hFE drift is correlated with initial measured 1/f noise in the transistors, i.e. the drift amount significantly increases with the increase of noise level. The correlation coefficient of relative drift ΔhFE /hFE and 1/f noise spectral density SiB(f) is far larger than that of Δ hFE/hFE and initial DC parameters of the transistors. A quantitative theory model for the h FE drift has been developed and explains the h FE drift behavior in the tests, which suggests that the h FE drift and 1/f noise can be attributed to the same physical origin, and both are caused by the modulation of the oxide traps near the Si-SiO2 interface to Si surface recombination. 1/f noise measurement, therefore, may be used as a fast and nondestructive means to predict the long-term instability in bipolar transistors  相似文献   

13.
SiO2 insulator is on top of an InP layer; current transport occurs, however, an in adjacent n-type Ga0.47In0.53As:Sn layer. A transconductance of gm=300 mS/mm is obtained from depletion-mode MISFETs with a gate length of 1.2 μm. This MIS (metal-insulator-semiconductor) junction has a symmetric current-voltage characteristic and a low-leakage current of ~1 nA at ±2 V. High-frequency S-parameter measurements performed b probing devices on the wafers yield a unity current gain frequency of F t=22.2 GHz and a maximum frequency of oscillation f max=27 GHz  相似文献   

14.
The penetration of boron into and through the gate oxides of PMOS devices which employ p+ doped polysilicon gates is studied. Boron penetration results in large positive shifts in VFB , increased PMOS subthreshold slope and electron trapping rate, and decreased low-field mobility and interface trap density. Fluorine-related effects caused by BF2 implantations into the polysilicon gate are shown to result in PMOS threshold voltage instabilities. Inclusion of a phosphorus co-implant or TiSi2 salicide prior to gate implantation is shown to minimize this effect. The boron penetration phenomenon is modeled by a very shallow, fully-depleted p-type layer in the silicon substrate close to the SiO 2/Si interface  相似文献   

15.
In self-aligned polysilicon emitter transistors a large electric field existing at the periphery of the emitter-base junction under reverse bias can create hot-carrier-induced degradation. The degradation of polysilicon emitter transistor gain under DC stress conditions can be modelled by ΔIBIR m+ntn where n≈0.5 and m ≈0.5. The more complex relationships of Δβ(I C, IR, t) and β(I C, IR, t) result naturally from the simple ΔIB model. Using these relationships the device lifetime can be extrapolated over a wide range of reverse stress currents for a given technology  相似文献   

16.
The rate of emission of electrons from an inversion layer at the interface between p-type GaAs and undoped AlxGa1-xAs (x=0.38) is measured using a transient capacitance technique at temperatures from 49.8 to 84.4 K and at various gate biases. A model based on physical mechanisms is developed that accurately describes the inversion charge leakage. The model parameters are adjusted within their limits of uncertainty to obtain the optimal fit of present theory to experiment. The fit results in estimation of δEc=0.28 eV and tunneling effective mass m*=0.08 mO, for Al0.38 Ga0.62As. The model is used to predict the storage characteristics of similar devices with lower GaAs doping and with an alternate barrier material  相似文献   

17.
A typical 1/f noise is excited in GaAs filament with the Hooge noise parameter of about αH=2×10-3 . The noise level increases in proportion to the square of the terminal voltage, and decreases approximately in inverse proportion to the total number of carriers within the device. A transition from the typical 1/f noise characteristics to the diffusion noise characteristics of MESFETs was observed when the electric field was increased above 1 kV/cm. The noise parameters were also investigated as a function of the device width between 2 and 200 μm. Deep levels within the n-GaAs active layer and the high electric field are the main factors of the nonideal 1/f characteristics  相似文献   

18.
The increase of the effective gate oxide thickness for W-polycide processes is studied. The samples with as-deposited and annealed W polycide were analyzed by secondary ion mass spectrometry, transmission electron microscopy (TEM), and high-frequency CV measurements. The TEM cross section shows that the gate oxide thicknesses are ~244 and ~285 Å for as-deposited and 1000°C annealed samples, respectively. The TEM results agree with those from CV measurements. The TEM analyses provide direct physical evidence of an additional oxide thickness (~41 Å) during the W-polycide annealing  相似文献   

19.
The DC and microwave properties of In0.52Al0.48 Al/InxGa1-xAs (0.53⩽x⩽0.70) heterostructure insulated gate field-effect transistors (HIGFETs) with a quantum well channel design are presented. DC and microwave transconductances (gm) are enhanced as the In content is increased in the InGaAs channel. An intrinsic microwave g m value of 428 mS/mm and a K-factor of 1140 mS/mm-V have been obtained for 1.0-μm gate length with the 65% In channel devices. The sheet charge density, drift mobility, transconductance, current-gain cutoff frequency (fT), and maximum oscillation frequency (f max) all show a continuous improvement up to 65% In content ( fT=22.5 GHz with 53% and fT=27 GHz with 65% In; the corresponding fmax change is from 6.5 to 8 GHz). The device performance degrades as the In content is increased to 70%. DC and microwave characteristics show the presence of negative differential resistance (NDR) up to 2.7 GHz  相似文献   

20.
An experimental demonstration of a p-channel FET based on a heterostructure having vertically integrated p- and n-type quantum-well channels is discussed. The AlGaAs/GaAs heterostructure consists of a quantum well with an underlying p-region positioned above a second quantum well with an underlying n-region. The p-FET is fabricated with self-aligned p+ regions formed by zinc diffusion. Electrical characteristics for 1.5-μm gate lengths are nearly ideal in appearance with a maximum Id of 90 mA/mm, a g m of 80 mS/mm, and a gm/g d ratio of 140 at 77 K. The results demonstrate the viability of such stratified structures for the development of complementary integrated circuits or other circuits requiring integration of multiple device types  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号