首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 109 毫秒
1.
王胜 《微计算机信息》2007,23(25):131-133
本文介绍了如何利用计算机采用GPIB接口及HP标准仪器控制库与TD3000光时域反射仪进行连接的方式、方法,实现了计算机对TD3000程控仪器的测量控制。同时介绍了系统中所应用的HP标准仪器控制库中的函数及TD3000程控仪器命令,并设计了计算机控制仪器完成一次测量过程的原始数据的读取方法和程序流程。  相似文献   

2.
介绍一种基于FPGA的程控变频电源GPIB接口设计方法,采用VHDL语言在XC3S400芯片上实现专用接口芯片NAT7210的功能.介绍了程控变频电源控制电路和FPGA实现的功能块框图,并给出了讲者接口功能的状态转换图及其实现方法,最后介绍了在PIC24单片机上实现GPIB驱动的设计方法.  相似文献   

3.
介绍了一种带有GPIB接口的程控恒流源。在该仪器中采用了一些专用大规模集成芯片,力求减少中间环节,简化仪器的结构。它具有负载能力强,稳流精度高,维护简便,体积小等优点。它的适用范围较广,既可作为自动测试系统等测量设备中的激励源,也可作为其他电子设备的通用电源。  相似文献   

4.
应用NAT9914设计GPIB接口板的方法   总被引:3,自引:0,他引:3  
简要讲述了GPIB接口规范,对GPIB专用芯片NAT9914的结构及其工作原理进行了说明.我们使用NAT9914设计了一种用于程控仪器的GPIB接口板,并给出了GPIB接口板的程序设计流程.  相似文献   

5.
6.
I2C总线接口的FPGA实现研究   总被引:1,自引:0,他引:1  
该文详细阐述了I2C总线接口的结构、工作原理,提出了复杂时序电路状态机嵌套的设计思想,并给出了基于VerilogHDL的I2C接口电路的描述。  相似文献   

7.
基于FPGA的PCI总线接口设计   总被引:1,自引:0,他引:1  
论文介绍一种使用PCI宏核逻辑进行高效PCI接口设计的方法。该方法将PCI接口逻辑和PCI用户逻辑集成在一片FPGA里,可以对整个逻辑进行仿真调试,大大缩短了开发周期,提高了系统集成度和性能。文章重点介绍了PCI接口逻辑的结构原理,分析了时序设计的要点,并给出了一种典型应用的软硬件设计方案和仿真结果。  相似文献   

8.
GPIB接口实现及应用   总被引:7,自引:0,他引:7  
讲述了GPIB接口规范,单片机的接口编程以及与GPIBPCI接口卡的通信实现,详细描述了GPIB接口函数和面向对象的软件编程算法。  相似文献   

9.
讨论了一种基于FPGA方案高速数据发生器的GPIB接口的功能测试方法,通过带ISA口的计算机、GPIB接口卡和若干台GPIB仪器组成GPIB测试系统,利用数字示波器、母线分析仪等仪器,进行听/讲功能测试、传输速率测试、地址测试、串查功能测试。测试结果证明该基于FPGA方案高速数据发生器的GPIB接口各项指标符合国标标准。该方法可靠易行,对仪器开发者有一定借鉴价值。  相似文献   

10.
张乔 《计算机与网络》2005,(8):57-58,61
文章讨论了如何用FPGA实现程控交换机中的会议功能,详细介绍了程控交换机中会议功能的工作原理和具体实现过程。还给出了在ALTERA公司的Quartusll开发环境中使用FLEX系列FPGA进行波形仿真和时序分析的方法。  相似文献   

11.
戴尔晗  赵元正  王锁萍 《测控技术》2005,24(7):50-52,62
介绍了一种基于S3C44B0X的嵌入式GPIB控制器的硬件设计,软件上也给出了完整实现.由于采用了嵌入式设计方法,系统的电路得到简化,还提高了系统的可靠性.给出了该嵌入式GPIB控制器的一个应用实例.  相似文献   

12.
基于GPIB的函数信号发生系统设计与实现   总被引:1,自引:0,他引:1  
针对科学研究中要产生实际信号的情况,以Agilent 33250A任意函数信号发生器和AX5488接口卡为背景,介绍了在LabVIEW环境下,通过调用GPIB.DLL发送SCPI指令控制Agilent 33250A,实现基于GPIB的任意函数信号自动发生系统的方法.整个系统扩展性强、运行稳定、程序维护和升级方便.这种程序设计思路弥补了非NI公司硬件产品在LabVIEW环境下不可直接应用的局限性,大大降低了科研人员在LabVIEW环境下构造虚拟测试仪器的成本.  相似文献   

13.
鲍俊  刘唯 《微计算机信息》2007,23(19):172-174
在导弹热电池测试系统中,利用VC编写动态链接库函数,通过GPIB接口实现了计算机对WR1000热敏阵列记录仪的控制.介绍了WR1000热敏阵列记录仪常见命令的使用和内存数据输出格式,并具体说明了计算机如何获取采样数据的实现方法,该方法实用性强,对自动测控系统设计人员具有借鉴作用.  相似文献   

14.
基于GPIB接口总线的虚拟仪器   总被引:4,自引:3,他引:4  
本文介绍了虚拟仪器的GPIB总线接口技术计算机通过GPIB接口卡控制带有GPIB总线接口的电流源和电压表,构成了Ⅰ~Ⅴ曲线虚拟仪器测试系统。在Labview环境下完成了虚拟仪器前面板以及后台框图程序的设计.与硬件系统一起完成虚拟仪器的测试任务。  相似文献   

15.
基于CPLD的GPIB控制器的设计与实现   总被引:1,自引:0,他引:1  
张熙  顾亚平  陈光 《测控技术》2006,25(1):43-45
设计中采用LATTICE公司的LC4512芯片实现GPIB控制器BC1400.采用模块化设计方法,使设计过程简化,并具有设计再利用和优良的可扩展性.  相似文献   

16.
基于FPGA的航天相机控制器接口的设计   总被引:1,自引:0,他引:1  
本文分析了航天相机控制器的构成与功能,并利用FPGA设计实现了相机控制器的外围接口,包括异步串行通讯接口、计时器接口、步进电机控制器接口,并给出了仿真结果.程序下载到FPGA芯片中后,控制器工作稳定,可靠,通信数据完全正确.  相似文献   

17.
In this paper, we introduced the technique of GPIB businterface. Through the controlling of the GPIB interface board,the computer controlled the current source and the voltmeter, both of which have GPIB bus interface, and a virtual instrument testing system for I~V curve was composed. The virtual instrument front panel and the background graphical control program in Labview environment accomplish virtual instrument testing task along with the hardware system.  相似文献   

18.
孙国平 《测控技术》2010,29(6):12-13
在Windows平台下,基于GPIB卡PCI-3488,通过GPIB接口,针对程控微波仪表,提出了一种测试行波管特性的方法。该方法已经在行波管的特性曲线测试中取得了应用,能够提高测试的自动化程度、测试精度和效率,对测试结果的事后分析也带来了极大的便利。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号