首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 78 毫秒
1.
FPGA软件设计   总被引:4,自引:0,他引:4  
介绍VHDL语言的特点及其在可编程逻辑器件设计中的广泛应用,同时讲解其设计的过程。  相似文献   

2.
本文介绍了一种用复杂可编程逻辑器件(CPLD)设计DRAM控制器的设计方法,并采用VHDL语言编程实现。  相似文献   

3.
基于可编程逻辑器件CPLD及硬件描述语言VHDL的EDA方法   总被引:6,自引:0,他引:6  
介绍了用可编程逻辑器件CPLD及硬件描述语言VHDL设计数字系统的方法,给出了一种字符发生器的硬件及软件的设计实例。  相似文献   

4.
基于FPGA的全数字锁相环路的设计   总被引:20,自引:0,他引:20  
介绍了应用VHDL技术设计嵌入式全数字锁相环路的方法。详细叙述了其工作原理和设计思想,并用可编程逻辑器件FPGA予以实现。  相似文献   

5.
该文阐述了现场可编程逻辑器件FPGA的主要特点.应用FPGA芯片和VHDL硬件描述语言设计的模拟示波器数字信号显示系统的设计原理和设计方法。  相似文献   

6.
FPGA的VHDL设计策略   总被引:4,自引:0,他引:4  
大规模的可编程逻辑器件已经显著改变了数字系统的设计过程,并且VHDL语言在设计中的作用也日益显著.简要论述了关于FPGA的VHDL设计中一些注意事项,提高电路描述的正确性,从而提高FPGA设计的性能.  相似文献   

7.
利用EPLD实现TMS320C5402与SDRAM接口   总被引:1,自引:0,他引:1  
介绍了基于电可擦除可编程逻辑器件 EPLD,用VHDL语言设计实现的TMS320C5402与SDRAM的接口电路。  相似文献   

8.
基于电可擦除可编程逻辑器件(EPLD),利用VHDL语言设计实现TMS320C5402与SDRAM接口电路。  相似文献   

9.
利用可编程逻辑器件(CPLD),以EDA工具为开发平台,运用VHDL语言,设计一个10K~9.9MHz的数字频率计.  相似文献   

10.
本文介绍了线阵CCD的工作原理和结构,运用VHDL硬件描述语言,结合复杂可编程逻辑器件CPLD,完成了对线阵CCDT1702C的驱动时序电路的设计,给出了部分VHDL语言代码,利用QuartusII软件实现了时序仿真。  相似文献   

11.
本文在对COBWEB、CLASSIT等概念聚类系统研究的基础上,提出了一种用数值属性的聚类分划来表示数值属性的方法.这种表示的核心是基于数值属性的取值分布.对于在这种表示下数值属性聚类的相关问题及性质,本文进行了较为详细的讨论.在此基础上,本文给出了一个能统一处理数值属性和符号属性的聚类评价函数.一个基于聚类分划表示方法的概念形成学习系统CFLS(conceptformationlearningsystem)已在微机上实现,并被应用于地质学领域的三叶虫分类问题.本文对CFLS的设计和实现进行了介绍.  相似文献   

12.
介绍了设计与MCS8051微处理器指令集完全兼容的微处理器芯片的方法和过程。 设计中采用自上而下的结构化设计方法。利用VHDL语言对目标芯片进行描述仿真,逐步细化 ,最后综合成逻辑级的网表。该文中重点介绍了VHDL结构化层次设计、FPGA验证以及在RTL 级利用VHDL语言对控制器单元的设计。  相似文献   

13.
本文从系统设计的角度介绍了应用VHDL进行系统设计的设计风格,结合一个典型例子。说明如何采用VHDL开始一个自顶向下的设计,指出如何在现有的环境中有效开发VHDL的应用。  相似文献   

14.
VHDL语言在FPGA中的应用   总被引:1,自引:5,他引:1  
本文讨论了用VHDL语言进行FPGA设计的方法,简单介绍了VHDL语言的基本概念以及FPAG的设计流程,并举例说明了如何编写可综合的VHDL代码,使用Altera公司的MAX+PLUSII10.2开发软件进行功能仿真并给出仿真波形。  相似文献   

15.
用VHDL-AMS进行概念设计   总被引:4,自引:3,他引:1  
VHDL-AMS是VHDL向模拟和混合信号领域的诉展,VHLD-AMS为设计者提供了在概念级处理复杂系统的能力,随着VHDL-AMS的标准化,将诞生处理复杂的模拟和混合信号模型的有效的模拟器,文中介绍了VHLD-AMS模拟扩展的主要内容,展示了一个混合模式模拟环境,并给出了模拟解算器的构成,讨论了连续和离散模拟的同步问题;用4个例子说明VHDL-AMS在概念设计中的应用。  相似文献   

16.
VHDL语言高级综合子集的确立及其实现方法   总被引:7,自引:2,他引:7  
越来越多的高级综合系统采用或接受VHDL语言作为设计输入,但VHDL语言的语义本质是基于模拟而非基于高级综合的,许多语法现象不能或不适于进行综合。本文系统地分析了VHDL语言的可综合性问题,详细讨论了VHDL语言的各种语法现象的可综合性,并结合实际系统分析了VHDL语言高级综合子集的确立及实现方法。  相似文献   

17.
配置是VHDL语言的一个基本设计单元,用来为设计实体指定综合或仿真时采用的结构体。论文结合教学实际讨论了VHDL语言中配置语句的常用的三种用法:默认配置、元件配置和结构配置。论文首先论述了每种配置语句的格式,然后以数字电路中的半加器和全加器的VHDL描述为例,说明每种配置语句格式的使用方法。最后对论文内容进行归纳并得出几点结论。论文对VHDL语言教学及基于VHDL层次化电路设计都具有一定的指导意义。  相似文献   

18.
配置是VHDL语言的一个基本设计单元,用来为设计实体指定综合或仿真时采用的结构体。论文结合教学实际讨论了VHDL语言中配置语句的常用的三种用法:默认配置、元件配置和结构配置。论文首先论述了每种配置语句的格式,然后以数字电路中的半加器和全加器的VHDL描述为例,说明每种配置语句格式的使用方法。最后对论文内容进行归纳并得出几点结论。论文对VHDL语言教学及基于VHDL层次化电路设计都具有一定的指导意义。  相似文献   

19.
数字逻辑系统的设计离不开计算机辅助设计CAD工具的帮助,尤其是VHDL硬件描述语言。该语言采用模块化的设计方法,自顶向下完成全部设计和综合过程,最终生成印刷电路板或专用集成电路,论述了高级语言VHDL的行为模块描述和结构模式描述,介绍了数字逻辑系统的设计方法和步骤,继而通过一个简单设计实例讨论了VHDL语言模块化的综合描述过程。  相似文献   

20.
关吉 《数字社区&智能家居》2014,(4):2404-2406,2463
该文介绍了一种基于VHDL语言的交通控制器设计,以QuartusII为软件开发平台,文中重点介绍该交通控制器的设计原理、设计步骤。在此基础上给出了基于VHDL硬件描述语言的系统实现源代码以及仿真结果,通过仿真结果进一步验证了该交通控制器方案的正确性,从而展示VHDL语言的强大结构和优秀特性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号