首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
1.3-μm InGaAsP/InP buried heterostructure lasers were fabricated using Ch4/H2 reactive ion etching (RIE) for mesa definition and metalorganic chemical vapor deposition for blocking laser growth. Results show that high-quality lasers can be made using RIE, with threshold current as low as 10 mA. It was also found that a slight chemical etching of the RIE mesas was necessary to obtain lasers with as high quality as those fabricated entirely by wet etching  相似文献   

2.
A micromachined silicon sieve electrode has been developed and fabricated to record from and stimulate axons/fibers of the peripheral nervous system by utilizing the nerve regeneration principle. The electrode consists of a 15-μm-thick silicon support rim, a 4-μm-thick diaphragm containing different size holes to allow nerve regeneration, thin-film iridium recording/stimulating sites, and an integrated silicon ribbon cable, all fabricated using boron etch-step and silicon micromachining techniques. The thin diaphragm is patterned using reactive ion etching to obtain different size holes with diameters as small as 1 μm and center-center spacings as small as 10 μm. The holes are surrounded by 100-200 μm2 anodized iridium oxide sites, which can be used for both recording and stimulation. These sites have impedances of less than 100 kΩ @ 1 kHz and charge delivery capacities in the 4-6 mC/cm2 range. The fabrication process is single-sided, has high yield, requires only five masks, and is compatible with integrated multilead silicon ribbon cables. The electrodes were implanted between the cut ends of peripheral taste fibers of rats (glossopharyngeal nerve), and axons functionally regenerated through holes, responding to chemical, mechanical, and thermal stimuli  相似文献   

3.
Principles of wet chemical processing in ULSI microfabrication   总被引:1,自引:0,他引:1  
Fine patterning technology for integrated device manufacturing requires properties such as surface cleanliness, surface smoothness, complete uniformity, and complete etching linearity in wet chemical processing. An improved chemical composition for buffered hydrogen fluoride (BHF:NH4F+HF+H2O) is determined based on fundamental research into the chemical reaction mechanism of BHF and SiO 2. Advanced wet chemical processing based on investigation of chemical reaction mechanisms and properties of liquid chemicals, concentrating on the SiO2 patterning process by BHF, is described. The principles of wet chemical processing in silicon technology are based on the following: the determination of the dominant reaction (etching) species, the influence of the solubility of the etching products in BHF on etching uniformity and linearity, stability of chemical composition without solid-phase segregation, and an improvement of the wettability of liquid chemicals on the wafer surface by the addition of a surfactant  相似文献   

4.
Merged epitaxial lateral overgrowth (MELO) of silicon was combined with an SiO2 etch stop to form a 9-μm-thick and 250-μm×1000-μm single-crystal Si membrane for micromechanical sensors. When epitaxial lateral overgrowth (ELO) silicon merges on SiO2 islands, it forms a local silicon-on-insulator (SOI) film of moderate doping concentration. The SiO2 island then acts as a near-perfect etch top in a KOH- or ethylenediamine-based solution. The silicon diaphragm thickness over a 3-in wafer has a standard deviation of 0.5 μm and is precisely controlled by the epitaxial silicon growth rate (≈0.1 μm/min) rather than by conventional etching techniques. Diodes fabricated in the substrate and over MELO regions have nearly identical reverse-bias currents, indicating good quality silicon in the membrane  相似文献   

5.
The authors have designed, fabricated, and characterized 0. 1-μm-gate-length MESFETs in which isotropic BCl3 reactive ion etching is used to remove material under the gate feed to form an airbridge and isolate the active area. This etching is more controllable than wet etch techniques now used. For comparison, conventional mesa-isolated MESFETs were fabricated on the same wafer. By measuring the RF properties at several bias points, fringing capacitances have been extracted. The parasitic capacitances are smaller in the airbridged-gate configuration  相似文献   

6.
A SiO2-Si-SiO2-Si-SiO2-Si structure produced by the separation by implantation of oxygen (SIMOX) process used for dual vertically integrated waveguiding in silicon at λ=1.3 μm is discussed. Independent waveguiding is observed when 2-μm-thick Si cores are separated by 0.36-μm-thick SiO2 . Coupled waveguiding is found for an 0.12-μm intercore oxide thickness  相似文献   

7.
Shrinking die sizes and increasing I/O density is motivating the push toward flip chip packages. A flip chip interconnection system with a under bump metallurgy stack containing sputtered TiWNX/sputtered Cu/electroplated Cu stud/electroplated 95%Pb-5%Sn was developed. An important step in the above process is the selective etching of the sputtered Cu bus layer and the TiWNX barrier layer, in the presence of the Pb-Sn solder. The Cu bus layer was selectively etched using commercial etchants. However, no commercial etchants were available for selectively etching the TiWNX layer, H2O2-NH4OH based etching systems, popularly known as Standard Clean-1 cleaning solutions, have been extensively used to clean silicon wafers in front end wafer fabrication where only trace metal contamination exists. Since metals like lead, copper, titanium, tin and tungsten catalyze the heterogeneous decomposition of the peroxide, the unstable H2O2-NH4OH based etching systems are rarely used to etch metal films. In this paper the development of a H 2O2-NH4OH based etchant to selectively etch the sputtered TiWNX films in the presence of electroplated 95%Pb-5%Sn solder bumps is discussed. A 23 full factorial experiment with mid point was conducted to establish the etchant composition, as well as process temperature, that give satisfactory responses with respect to etch time, permissable undercut of the Cu stud (caused by the NH4OH), and acceptable bump shape after reflow. Statistical analysis was used to understand the significant factors influencing the etch rate and undercut. An etchant containing 6% by volume of 30%-H2O2 and 0.75% by volume of 30%-NH4OH operated at a temperature of 37°C was found to give satisfactory results  相似文献   

8.
In this paper, a bulk-micromachined three-axis accelerometer fabricated with commercial submicrometer CMOS wafers has been developed for low-cost realization of smart accelerometers and improvement of device performance. The signal processing circuits for three-axis detection were formed using a commercial 0.8-μm CMOS technology. After that, micromachining processes were performed to the complete CMOS wafers to form accelerometer structures. The important technologies to separate micromachining processes from the CMOS process are wafer thickness control after CMOS fabrication and backside polishing with chemical spin etching. Accelerometers with 3×3 mm2 and 6×6 mm2 die size were fabricated with the developed fabrication technology. As a result of device evaluation, 2.0 mgrms resolution of Z-axis acceleration, and 10.8 mgrms resolution of X and Y-axis acceleration were obtained by the accelerometers with 6×6 mm2 die size. Comparing for the same die area, the 6×6 mm2 size accelerometer showed about 21.3 times higher resolution of Z-axis acceleration and 37.8 times higher resolution of X, Y-axis acceleration as compared to our previous three-axis accelerometer fabricated with 5.0-μm CMOS technology. Temperature dependence and reliability for repetitive vibration loads were also evaluated. Through these evaluations, basic performance of the CMOS integrated three-axis accelerometer has been confirmed  相似文献   

9.
Reports on fabrication and characterization of the first zeroth-order half-wave plates of LiNbO3 obtained by crystal ion slicing (CIS). Polarization rotation was demonstrated in 10-μm-thick freestanding LiNbO3 films with 30-dB conversion ratios and negligible material loss. Polarization-independent performance was demonstrated in a hybrid-optic device comprising a CIS wave plate integrated with single-mode silica-based channel waveguides  相似文献   

10.
提出了一种新颖的基于三维掩膜的硅各向异性腐蚀工艺,即利用深反应离子刻蚀、湿法腐蚀等常规体硅刻蚀工艺和氧化、化学气相沉积(CVD)等薄膜工艺制作出具有三维结构的氧化硅(SiO2)或氮化硅(Si3N4)薄膜,以该三维薄膜作为掩膜进行各向异性腐蚀,该工艺可以应用于MEMS微悬空结构的制作。利用该工艺成功地在单片n-Si(100)衬底上完成了一种十字梁结构的释放,并对腐蚀的过程和工艺参数进行了研究。  相似文献   

11.
We report 670-nm native-oxide confined GaInP-(AlxGa1-x)0.5In0.5P quantum-well ridge waveguide laser diodes. The devices are fabricated from a compressively strained GaInP-(AlxGa1-x)0.5In0.5P quantum-well separate confinement heterostructure laser structure. Wet chemical etching and wet oxidation process are used to form native oxide confined ridge waveguides. The oxidation process converts the p-Al0.5In0.5P cladding layer into AlOx after ridge etch. Laser diodes of 3.5-μm-wide ridge waveguide operate with threshold currents below 13.5 mA and differential quantum efficiencies over 35%/facet  相似文献   

12.
A 50-Ω coplanar waveguide (CPW) resonator designed for a fundamental frequency of about 4.75 GHz was fabricated on LaAlO3 . Two versions were fabricated: the first using 1.9-μm-thick gold and the second using 0.6-μm-thick YBa2Cu3O 7. The devices were identically packaged and tested at 77 K. It was found that the high-temperature superconductor (HTS) resonator had a surface resistance, Rs, about six to nine times lower than the Au one. At 45 K, the Rs of the HTS resonator decreases by another factor of 4 compared with its 77 K value. Device characteristics for the HTS resonator are presented  相似文献   

13.
An ultraclean (UC) magnetically enhanced reactive ion etcher (MERIE) is proposed to overcome the limitations of the present-state MERIE available commercially. The sensitivity of gas compositions, pumping speed, substrate temperature and magnetic field intensity are discussed as examples of hardware-related process limitations. Five major configuration changes are proposed in the system: (1) improved effective pumping speed; (2) supplementary magnets for uniform and stable plasma distribution; (3) dual RF excitation for independent control of ion energy and flux; (4) DC-biased shield electrode for minimum chamber material contamination; and (5) DC-biased substrate. A study with a dual RF excitation system found that DC-baising the Si substrate in low-energy SiO2 etching process can significantly reduce the Si etching rate by impeding positive ions from reaching the substrate. In addition, SiO2 to Si etching rate selectivity can be significantly improved during the overetch step in SiO2 etching of high-aspect-ratio contact holes  相似文献   

14.
A plasma etching process for patterning LPCVD (low-pressure chemical vapor deposition) Si3N4 which has been formed on thin thermally grown SiO2 has been developed and characterized with an Applied Materials 8110 batch system using 100-mm-diameter silicon wafers. To fulfill the primary process objectives of minimal critical dimension (CD) loss (~0.08 μm), vertical profiles after etch, retention of some of the underlying thermal SiO2, and batch etch uniformity, the reactor has been characterized by evaluating the effects of variation of reactor pressure (15 to 65 mTorr), O2 concentration by flow rate (30 to 70%) of an O2/CHF2 mixture, and DC bias voltage (-200 to -550 V). Analysis of the resulting etch rate, etch uniformity, dimensional, and profile data suggests that satisfactory processing may be achieved at low reactor pressure (~25 mTorr), 50-60% O2 by flow rate in O2/CHF3, and low DC bias (-200 to -250 V)  相似文献   

15.
过孔搭接失效一直是TFT-LCD行业中重点改善的不良之一。为了解决该不良,本文分析了不同刻蚀模式(ICP和ECCP)对过孔形貌的影响,利用四因子法研究ECCP模式刻蚀参数(压力、偏置/源极射频功率及O_2/SF_6气体比例)对刻蚀速率和均一性的影响,并得出ECCP过孔改善的最佳刻蚀参数。结果表明:ECCP模式下,氮化硅刻蚀过程中物理轰击对GI截面的下沿与Cu接触区域形成损伤后产生的缺陷,是诱发过孔腐蚀的主要因素,ICP模式无腐蚀。反应腔压力增大刻蚀速率增大,均一性下降;偏置射频功率增大,速率增大,均一性提高;源极射频功率增大,速率变化小,均一性下降;O_2/SF_6气体比例对速率影响小,O_2含量越高,均一性越高。为达到PR胶保护GI下沿截面的目的,反应压力增大到1.7Pa,偏置射频功率减小到30kW,源极功率增加到30kW,O_2/SF_6气体保持比例1∶1后,增加了氮化硅的刻蚀量,减小PR胶的内缩量,避免物理溅射表面损伤;同时刻蚀速率达到750nm/s,均一性达到10%,腐蚀发生率为10%~0,使ECCP刻蚀模式对过孔的腐蚀影响得到有效解决。  相似文献   

16.
Reactive ion etching of InP, GaInAs and GaAs using a mixture of ethane and hydrogen, C2H6/H2, is demonstrated for the first time. It has been found that by choosing optimum etching parameters one can obtain excellent vertical sidewalls as well as very smooth surfaces, keeping the etching rate at a convenient value of 20-60 nm/min  相似文献   

17.
A laser-based technique for thermally enhanced rapid etching of via holes through Fe-doped semi-insulating InP substrates is described. The process produces steep-walled closely-spaced via holes in etch times as short as 3-5 s/via in 100-μm-thick substrates. This technique is key to the fabrication of low-inductance metallized via contacts critical for high-speed microwave and millimeter-wave devices and circuits. The laser-based technique is material selective, allowing both through-wafer and blind-hole etching which is terminated on metal circuit contacts. Via structures have been fabricated on semi-insulating InP substrates patterned with millimeter-wave device geometries  相似文献   

18.
ICP etching of SiC   总被引:1,自引:0,他引:1  
A number of different plasma chemistries, including NF3/O2, SF6/O2, SF6/Ar, ICl, IBr, Cl2/Ar, BCl3/Ar and CH4/H2/Ar, have been investigated for dry etching of 6H and 3C–SiC in an inductively coupled plasma tool. Rates above 2000 Å cm−1 are found with fluorine-based chemistries at high ion currents. Surprisingly, Cl2-based etching does not provide high rates, even though the potential etch products (SiCl4 and CCl4) are volatile. Photoresist masks have poor selectivity over SiC in F2-based plasmas under normal conditions, and ITO or Ni is preferred.  相似文献   

19.
High-density plasma etching has been an effective patterning technique for the group-III nitrides due to ion fluxes which are 2–4 orders of magnitude higher than more conventional reactive ion etch (RIE) systems. GaN etch rates exceeding 0.68 μm/min have been reported in Cl2/H2/Ar inductively coupled plasmas (ICP) at −280 V dc-bias. Under these conditions, the etch mechanism is dominated by ion bombardment energies which can induce damage and minimize etch selectivity. High selectivity etch processes are often necessary for heterostructure devices which are becoming more prominent as growth techniques improve. In this study, we will report high-density ICP etch rates and selectivities for GaN, AlN, and InN as a function of plasma chemistry, cathode rf-power, ICP-source power, and chamber pressure. GaN:AlN selectivities >8:1 were observed in a Cl2/Ar plasma at 10 mTorr pressure, 500 W ICP-source power, and 130 W cathode rf-power, while the GaN:InN selectivity was optimized at 6.5:1 at 5 mTorr, 500 W ICP-source power, and 130 W cathode rf-power.  相似文献   

20.
The temperature dependent threshold current and spectral output characteristics of InP-based 1.55-μm distributed-feedback (DFB) ridge InGaAsP MQW lasers made by metal-organic vapor phase epitaxial growth and regrowth, electron-beam lithography and grating formation, and reactive ion etching (RIE) have been characterized. Single-mode operation and T0 as high as 120 K at room temperature and below are measured. The high value of T0 is predominantly attributed to the tunnel injection design incorporated in the active region  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号