首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 156 毫秒
1.
文中主要介绍一种以FPGA器件为控制核心的高精度数字频率计,其中待测信号经过输入缓冲电路,由FPGA采集并计数处理,最终测量结果显示在触摸彩屏液晶上。设计基于NiosⅡ,把采集部分和控制部分很好地融合在一起,辅之等精度测频法、多周期测时间间隔法和多周期测占空比法,从而达到精确、实时测量的目的。经试验验证,该系统能实现对输入频率从1 Hz~200 MHz周期信号的周期、频率、占空比和时间间隔的测量,测量精度优于10-7,稳定性和实时性好。  相似文献   

2.
根据等精度频率测量的方法,通过理论推导和分析计算,得到影响频率误差和精度的原因。并结合FPGA技术,利用Verilog硬件描述语言设计实现了一种数字等精度频率计,其具有频率测量和占空比测量的功能。通过ModelSim软件仿真和硬件实测,证明该系统能够完成等精度测频和测占空比的功能。  相似文献   

3.
基于单片机和CPLD的高精度频率计设计   总被引:1,自引:0,他引:1  
介绍了利用CPLD进行测频计数,单片机实施控制实现多功能频率计的设计电路的方法.利用等精度的设计方法,克服了基于传统测频原理的频率计的测量精度随被测信号频率的下降而降低的缺点,利用CPLD来实现频率、周期、脉宽和占空比的测量计数,利用单片机完成整个测量电路的测试控制、数据处理;显示输出部分也由CPLD来完成.  相似文献   

4.
设计了一种基于FPGA和自动增益控制技术(AGC)的新型高精度频率测量系统。AGC电路会根据输入信号的幅度值自动调整电路增益,使输出信号稳定在特定值附近。通过等精度测量方法,实现双路正弦波或方波的频率、相位差、占空比等要素的同步测量,其中100 MHz内的正弦波测频相对误差可控制在10~(-4)内。利用NIOSⅡ软核驱动键盘、液晶屏等外围模块,并添加蓝牙接口,具有较强的实用性。  相似文献   

5.
在某些对测频精度要求较高的场合,如惯导系统的信号测量,人工采用计数器测频法存在着耗时长、更换通道步骤繁琐、测量不方便的缺陷。本文给出一种用FPGA编程替代计数器的自适应测频方法,该方法可在宽频段(0.1Hz-200KHz范围内)实现同时、连续对多个通道快速、高精度测量,并能根据被测频率的变化自动实时输出测量结果,大大简化了测频步骤、节省了测量时间。使用此方法研制的某惯导信号测频系统经实际测量检验精度达到10~(-7)。  相似文献   

6.
提出了采用FPGA为核心器件设计数字芯片测试仪的设计思想,主要阐述了FPGA与DSP和数字芯片测试板之间的对地址/命令信号的译码和数据传输的实现。主要是通过DSP芯片对PC机测试命令的译码,并发送到FPGA芯片产生控制信号来控制数字芯片的测试,测试结果通过FPGA的现场采集发送到DSP芯片,再传送到上位PC机进行故障诊断。同时也介绍了采用单片机对FPGA进行配置,防止配置文件掉电丢失。测试表明:该系统具有很好的完整性,可靠性,准确性。  相似文献   

7.
首先介绍了DDS(直接数字频率合成技术)的基本原理,之后给出基于FPGA器件实现相位可调双路同频正弦信号发生器的设计系统。采用VHDL实现了各个模块的功能,并同时在QuartusII中完成了设计与仿真,给出了软件仿真和试验的结果。实验结果表明,该系统生成的双路同频正弦信号具有波形失真小、频率和相位精度高、输出频率和相位可调等优点。  相似文献   

8.
胡玲  潘征宇  洪扁  赵栋 《光学仪器》2014,36(3):258-262,278
基于Altera公司FPGA芯片,提出了一种基于双频激光干涉仪系统中数字相位计的实现方法。该相位计用于测量系统中被测信号和参考信号之间的相位差角度,间接测量激光干涉仪的光程差信息。被测信号经过光电接收器以及A/D模数转换成数字信号送到FPGA芯片中,与FPGA内建的查找表参考信号做正交相关法解调运算,得到一组X-Y值,再利用CORDIC算法计算arctan函数获取相位差,最后计算出干涉仪的光程差,算法的全过程使用FPGA硬件实现。实验结果表明,该相位计使双频激光干涉仪的相位差测量精度在0.01°以内。  相似文献   

9.
文章对基于相位重合的全同步测频方法进行了研究。相位重合理论是目前比较流行的用于频率测量的理论,在检测被测信号与标频信号的相位重合脉冲后触发闸门,使计数闸门、被测信号和标频信号三者达到全同步,彻底消除了±1个字误差。相位重合检测电路、闸门、计数器等模块均在可编程逻辑器件FPGA中完成,使用方便,易于修改,经仿真达到了预期效果。  相似文献   

10.
基于FPGA的双DDS任意波发生器设计与杂散噪声抑制方法   总被引:14,自引:3,他引:14  
研究基于DDS(直接数字频率合成)的任意波信号产生的机理,在FPGA内嵌SOPC,配置了32位的软微处理器NiosII,利用FPGA实现双DDS的相位累加器,通过数字方法直接实现任意波形的各种频率调制.分析了高速相位累加器截断误差,幅度量化误差和D/A非线性引起的杂散分量产生的原因.推导出DDS相位噪声模型,针对信号的频谱成份设计了高阶低通滤波器对输出信号滤波.结合NiosII,设计硬件电路对输出信号进行幅频校正,保证了信号幅值的稳定输出及实际显示数值的一致性.测试表明,信号波形发生器能输出稳定、高带宽、高速度、高精度、低衰减的任意波形,三角波的输出频率大于1 MHz,输出信号幅度峰峰值在50 mV~20 V范围内以10 mV的步进调节.  相似文献   

11.
为实现uClinux下的电子测量集成仪器中的数字频率计设计,采用Cyclone系列FPGA 芯片EP1C6Q240,运用SOPC软核设计、Nios-II软件开发技术、数字移相技术,实现了0.02 Hz~225 MHz,1×10-6精度的频率及脉宽、相位差的测量.实验表明,这是一种有效、低成本的解决方案.在重点给出该技术实现方法的同时,介绍了系统仿真和误差分析.  相似文献   

12.
针对慢走丝线切割加工(WEDM-LS)时因温度高、切缝窄等因素造成的加工过程难以监测的问题,利用声发射检测技术对占空比可调脉冲的慢走丝线切割加工过程进行在线监测。首先利用小波包能谱算法将AE信号分解到8个独立的频段上:分别为W1~W8,且频率依次降低;然后提取各频段上的能量特征,研究其与加工工件表面粗糙度值之间的相关性。试验结果表明:W8频段的能量与表面粗糙度值之间具有较高的相关性,该频段的能量与脉冲放电能量均随着脉冲信号占空比的增大而增大,且加工表面粗糙度值也随之逐渐增大。最后通过回归分析得到了反应材料表面粗糙度值与W8频段能量占比关系的数学预测模型,该模型的预测结果与实际测得的表面粗糙度值误差仅为3.51%。说明该模型具有较高的预测精度,可用于加工表面粗糙度的在线监测。  相似文献   

13.
王智明 《机电工程》2009,26(5):105-107
为了低成本地实现PLC模拟量输出控制,提出了利用PLC开关量输出实现模拟量输出的方法。采用脉宽调制技术,通过控制脉冲占空比的方法控制PLC晶体管开关量输出信号;使用平滑电路以提高所输出的模拟量信号的线性度,使其具有输出一定范围的模拟量的功能,并拓展了PLC晶体管开关量输出的功能。研究结果表明,该方法的使用大大降低了设备成本的投入,可应用于对模拟量信号分辨率及精度要求不高的场合,具有一定的实用价值。  相似文献   

14.
Prototyping on FPGA has become a main stream verification methodology for hardware design, test development, software co-design, etc. in the area of digital VLSI. In the case of test development, FPGA serves as a virtual DUT (Design Under Test) and test patters are applied from automatic test equipment (ATE). This verifies not only the chip with design for test (DFT) circuitry and test program but also the entire test setup involving virtual DUT, load board, interconnections with ATE, etc. Although, FPGA based platform is used widely for test program verification of digital ICs, this technique is not used for analog/mixed signal (AMS) circuits because of the difficulty in implementing AMS circuits in FPGAs. This work is concerned with the development of a test emulation platform, termed as hand-in-hand test flow, of AMS circuits based on FPGA. The proposed methodology exploits fixed-point modeling and DSP implementation techniques facilitated by latest FPGAs to model the AMS circuits. The proposed hand-in-hand test flow for AMS circuits will help the test engineers to start their test plan concurrently with the design engineers and validate them much prior to the first silicon. We have illustrated the proposed scheme using the case study of a current programmed buck type switching converter on Xilinx® Virtex™-5 FPGA. The FPGA emulation measurement results show that performance of the emulated DC–DC buck converter (e.g., duty ratio test, line transient, load step) matches well with that of SPICE simulation.  相似文献   

15.
基于Altera公司cycloneⅢ系列EP3C5芯片,提出了一种基于电定标热释电辐射计(ECPR)系统中一种数字相位计的实现方法.该相位计的作用是调整系统中被测信号和参考信号之间的相位差,使得相敏检波的幅度达到最大,从而提高光功率的检测精度.将被测信号A/D转换成数字信号送到FPGA芯片中,与查找表中的参考信号做I-Q正交解调算法,得到一组I-Q值利用CORDIC算法计算arctan函数荻取相位差,最后做出相应的信号同步调整.实验结果表明,该相位计使辐射计系统的测量光功率值的精度得到了改善和提高,系统运行更加稳定,当测量精度为1mW,其不确定度为1%.  相似文献   

16.
针对谐振式微机械加速度计输出数字频率信号的特点,基于TMS320VC5509A DSP处理核心与FPGA设计出一种可同时检测九路数字频率信号并具有较高精度的硬件单元,利用接入灵活、传输速率快的USB总线,结合SQLserver2000数据库构建起主要针对谐振式微机械加速度计的测试平台。主要阐述了前端频率测试方法、DSP应用系统设计及PC软件框架。整套系统对谐振式微加速度计产品的生产开发、质量检测有重要的现实意义。  相似文献   

17.
数字调制技术是高速通信传输系统的关键技术之一。本文提出了一种全并行的高速数字调制信号产生架构,该架构可以在现场可编程门阵列(FPGA)硬件平台中通过算法级的流水线实现。通过理论分析与推导,并行频域成型滤波器中的DFT/IDFT可以由低复杂度的两个基-8 FFT算法级联构成,进一步给出了具体的FPGA架构和实现方法。另外,为了进一步降低硬件资源,本文分析并设计了一种适用于并行实现的免混频数字正交上变频架构。仿真实验对高速并行数字调制架构中的并行频域成型滤波器在时域和频域分别进行了算法验证,FPGA硬件实现结果验证了高速并行数字调制信号产生的频谱性能。  相似文献   

18.
李群英  章平 《仪表技术》2010,(1):47-49,52
提出一种可重构仪器的设计思想,并利用VHDL语言完成了集频率计、正弦信号发生器、数控分频器于一体的仪器的设计与仿真。经实验仿真验证,该仪器符合可重构的思想,实现了可重构性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号