首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 125 毫秒
1.
断言语言SVA在硬件功能验证中的应用   总被引:1,自引:0,他引:1  
基于断言的验证(Assertion Based Verification,ABV),是一种有价值的主流验证技术.断言特别适合于描述时序特性和因果特性.作为System Verilog的重要组成部分,System VerilogAssertion(SVA)提供了丰富的断言指令,能有效的提高验证测试工作的质量和效率.文章首先介绍了断言验证语言System Verilog Assertion,并针对ARM公司的AMBA总线中的AHB仲裁器模块的设计,给出了一种基于断言的验证方法,采用SVA对其进行验证测试.测试仿真的结果表明,本文给出的方法的有效性和正确性.  相似文献   

2.
RVM(Reference Verification Method)SYNOPSYS基于OpenVera提出的相关验证技术。它的提出使得OpenVera这门验证语言的优越性更好地体现出来。在分析传统功能验证方法缺陷的基础上,介绍了RVM的特点和优势.并重点研究了RVM中所提供的各种新技术和新功能。将RVM用于所搭建的ⅡC总线功能验证平台中,对新的验证平台的覆盖率进行了分析.结果表明使用RVM的验证平台的代码覆盖率和功能覆盖率都明显优于传统的验证平台。  相似文献   

3.
万超  申敏  陈辉 《电子质量》2007,(8):20-23
在当前SOC验证领域中,如何提高验证平台的可靠性和效率是一个迫切需要解决的问题。传统的向量产生方法存在着效率低、覆盖率不高等缺陷。本文介绍了一种基于遗传算法的随机向量产生器。它结合覆盖率驱动技术,能够自动搜索适合于待测模块的测试激励。与传统的向量生成方法相比,基于遗传算法的产生器极大地提高了验证效率,并且减轻了验证工程师的工作,缩短了芯片上市的时间。  相似文献   

4.
本文首先引入了通道的概念;接着介绍了层次化验证平台的结构,并说明了RVM验证方法学中Channel类的函数及其用法.最后,我们在USB测试平台上给出了一个用通道连接处理器和驱动器的实铡.  相似文献   

5.
硬件设计复杂度的增加使功能验证成为硬件设计方法学中的重要内容,基于断言技术的硬件设计验证技术(ABV:Assertion Based Verification)得到越来越多的应用。本文首先简要地介绍了硬件设计断言的类型,然后详细讨论了使用断言进行硬件设计验证的方法,包括:断言在仿真中的应用,断言在形式验证中的应用,结合了仿真及形式验证的混合形式验证等.本文还介绍了支持断言验证技术的设计语言和设计属性检查库,包括OVL(Open Verification Library)、OVA(OpenVera Assertion)和OVA设计属性检查库等。  相似文献   

6.
基于断言语言SVA的设计验证方法   总被引:1,自引:0,他引:1  
查欣 《现代电子技术》2010,33(10):5-7,14
基于断言SVA的验证是一种有价值的主流验证技术。断言特别适合于描述时序特性和因果特性。作为Sys-tem Verilog的重要组成部分,SVA提供了丰富的断言指令,能有效地提高验证测试工作的质量和效率。在此,首先介绍断言验证语言SVA,通过与Verilog验证对比,说明SVA在时序特性和因果特性验证上的优势,证明基于断言的验证是SoC设计验证的一种有效方法,能够有效地提高验证效率。  相似文献   

7.
杜宁  吴国增 《电子测试》2007,(10):51-53
针对排除芯片设计流程中RTL级的错误时,需要花费大量时间看仿真波形与日志文件的问题,本文介绍了基于断言的硬件功能验证技术的基础,以及利用断言技术在黑盒验证中测试一设备输出信号时的应用,总结了断言验证的优点,以及断言与半形式化验证结合的特点,断言技术与动态仿真、形式验证、激励自动生成等技术结合,能更快更有效的发现硬件设计过程中RTL级的错误,成为验证方法学的焦点.  相似文献   

8.
基于断言的验证方法在总线协议验证中的应用   总被引:7,自引:0,他引:7  
随着ASIC和SoC设计复杂程度的不断提高,功能验证越来越受到重视。作为新兴的验证方法,基于断言的验证得到越来越广泛的应用。本文介绍了基于断言的验证方法及其应用于功能验证的诸多优点,总结了断言验证在总线协议验证中的应用方法,并采用PSL语言举例进行了说明。  相似文献   

9.
Matlab定点仿真在FPGA验证平台中的应用   总被引:1,自引:0,他引:1  
苏丽 《电子科技》2013,26(5):71-73
无论在雷达系统还是在通信系统当中,对其各种信号处理方法进行仿真时,数据是以浮点形式参与运算,当把算法移植到硬件中实现时,数据是以固定长度的二进制形式参与运算。文中介绍如何利用Matlab定点工具箱实现数据的浮点到定点转换,并结合设计实例,阐明了定点仿真在FPGA验证平台中的应用。实践证明,进行定点仿真是FPGA实现的前提,同时还可以验证FPGA中运算结果的正确性。  相似文献   

10.
基于层次化验证平台的存储器控制器功能验证   总被引:3,自引:1,他引:2  
文中描述了一种基于层次化的验证平台存储器控制器功能验证方法.根据VMM(Verification Methodokgy Manual)验证方法学构建的一个层次性的验证平台,它易于维护并且具有很好的灵活性和可重用性.文中中的覆盖率模型是采用分类树方法构建.采用分类树方法不仅易于提取完整的被测功能点,而且可以使从规范到Sys-temVerilog语言描述的转换变得更加容易,从而大大提高了验证的效率和缩短了验证时间.该方法也同样适合于片上系统(SoC)的验证.  相似文献   

11.
改进的合成孔径雷达旁瓣抑制空间变迹算法   总被引:1,自引:0,他引:1  
针对现有的改进空间变迹(SVA)算法存在运算量大、不能有效抑制合成孔径雷达(SAR)图像旁瓣或者损失主瓣能量等问题,提出一种新的改进SVA算法。分析了非整数倍带宽采样对理想频域窗函数所对应的脉冲响应函数的影响,根据旁瓣抑制要求,构建一个新的脉冲响应函数,同时得到其所对应的频域窗函数,并给出相应的约束条件,通过比较最大和最小加权的SAR数据来实现旁瓣抑制。该算法在保持主瓣能量的前提下能够快速有效地抑制旁瓣,仿真实验和实测数据处理验证了该算法的有效性。  相似文献   

12.
研究了时频分析在ISAR成像中的运用,论述了一维SVA算法和改进的二维SVA算法在提高图像分辨率、降低信号自身旁瓣电平方面的优势。在此基础上,提出将两者联合运用到机动目标的逆合成孔径雷达成像中,改善了传统的距离-多普勒算法对机动目标成像的模糊现象。实验结果证明了此方法的正确性和有效性。  相似文献   

13.
中国TFT-LCD产业的发展   总被引:1,自引:1,他引:0  
从80年代开始,经历过几次大的投资浪潮之后,中国大陆已经成为全世界最大的TN-LCD生产基地和主要的STN-LCD生产基地。2003年又开始大规模涉足TFT-LCD产业,以京东方科技集团股份有限公司收购韩国现代三条TFT-LCD生产线和所有LCD业务以及京东方和上广电又分别投资在大陆建设2条第5代TFT-LCD生产线为标志,中国正在步入世界液晶强国之列。  相似文献   

14.
介绍SystemVerilogVMM验证方法学在LCDController验证中的应用,指出它相对于传统Verilog验证方法的1优点,重点研究功能覆盖率的收敛技术,实验比较了多种具体的实现方法。实验结果表明,由于CCT能够收集覆盖信息,形’成闭环负反馈,以控制随机变量的生成,从而在实现快速收敛的目标方面取得了显著的效果。  相似文献   

15.
段承超  徐金甫 《现代电子技术》2011,34(8):127-129,132
传统的验证平台编写复杂,且难以在不同设计之间重用。采用SystemVerilog支持的VMM验证方法学,并结合带约束的随机验证和覆盖率驱动的验证技术,构建可重用验证平台,完成对UART模块的验证。与直接测试方法相比,该验证平台不仅能够有效提高验证效率,而且在模块级和系统级验证过程中,能够重用该验证平台或验证组件。  相似文献   

16.
本文结合处理器芯片实际项目,重点介绍了功能验证环节的工作。文章基于VMM验证平台,利用System Verilog语言自动生成测试激励,采用断言和功能覆盖率相结合的验证方法,实时监测RTL模型运行时的各种信号,自动进行覆盖率统计,通过增加约束实现覆盖率的快速收敛。文章最终给出了基于VMM验证平台进行功能验证的结果,绘制了功能覆盖率上升曲线。  相似文献   

17.
提出了一种针对SystemVerilog断言的断言检查电路综合方法。综合而成的断言检查电路可以被用于硬件仿真中。方法基于移位寄存器链保存电路信号的历史数据,并利用断言电路间寄存器共用减少硬件资源使用。实验结果表明,与已有的断言综合方法比较,本方法具有有效性。  相似文献   

18.
介绍了一种易于实现的基于断言的验证(ABV)方法,即经过5个步骤在设计文件中插入断言,使仿真器在仿真过程中监视设计中的关键功能点.该方法在UART的寄存器传输级(RTL)模型功能验证中的应用,实验中使用SVA描述设计属性.实验证明,这一方法提高了设计的可观察性,适用于数字集成电路功能验证.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号