首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
随着半导体工艺的发展,SoC芯片的规模和复杂度日益增大,传统的验证方法已经不能满足要求.本文介绍了基于SystemVerilog验证语言的形式化验证和VMM验证这两种功能验证的方法,并且结合使用这两种方法对一个UART接口模块进行了验证,在保证验证完备性的基础上,有效地提高了功能验证的效率.  相似文献   

2.
在越来越复杂的SoC芯片验证开发中,ABV(基于断言的验证)已经成为一种先进且有效的验证方法。SVA(System Verilog断言)是一种基于描述性的验证语言,它作为System Verilog语言的一个子集已成为IEEEl800标准。本文以AMBAAPB总线上的IIC总线控制器为例,简要介绍了利用VMM验证方法学来快速搭建以覆盖率为指导、约束随机化、可重用的分层验证平台。在此基础上详细阐述了在DUT的外部接口上绑定SVA断言检查器,从而在黑盒的条件下完成高效的功能验证。  相似文献   

3.
随着硬件设计复杂度的提高,设计的后期验证在设计生命周期中占据的比重也越来越大。能否对设计进行全面有效的验证,是验证人员所面临的主要问题。采用SystemVerilog语言对SRAM控制器IP核搭建验证环境,并结合SVA断言技术对其实行监控,得出代码及功能覆盖率数据。通过与传统的验证方法对比分析可知,基于SystemVerilog的验证方法更加全面有效,提高了验证质量。  相似文献   

4.
顺应SoC的发展趋势,Accellera标准组织提议了一个统一设计语言SystemVerilog。本文主要讨论了SystemVerilog的特点、设计优势、现状和未来趋势等,并给出了一些实例。SystemVerilog是C、C 、Superlog和Verilog的混合,它极大地扩展了抽象结构层次的设计建模和验证的能力,是SoC设计的最佳统一语言。  相似文献   

5.
6.
Model Based System Engineering (MBSE) is a renowned approach in the context of embedded systems development. It is frequently used to deal with the structural and behavioral aspects of system design. However, the verification of system design is generally performed in isolation. It is particularly true in the context of assertion based verification. Consequently, there is a huge gap between system design and its verification that seriously effects the productivity and time-to market objectives. Therefore, in this research, we target to reduce this gap by exploiting the features of MBSE and SystemVerilog assertions (SVA’s). This article introduces a novel MBSE approach to model the design verification aspects of embedded systems, along with the system design (structural and behavioral aspects). We propose SystemVerilog in Object Constraint Language (SVOCL), an OCL temporal extension for SystemVerilog, to represent the design verification requirements by means of SVA’s. As a part of research, SVOCL transformation engine has been developed to generate SVA’s code in order to automate the design verification of embedded systems. The application of SVOCL has been validated through four case studies.  相似文献   

7.
段承超  徐金甫 《现代电子技术》2011,34(8):127-129,132
传统的验证平台编写复杂,且难以在不同设计之间重用。采用SystemVerilog支持的VMM验证方法学,并结合带约束的随机验证和覆盖率驱动的验证技术,构建可重用验证平台,完成对UART模块的验证。与直接测试方法相比,该验证平台不仅能够有效提高验证效率,而且在模块级和系统级验证过程中,能够重用该验证平台或验证组件。  相似文献   

8.
随着SystemVerilog成为IEEE的P1800规范,越来越多的项目开始采用基于SystemVerilog的验证方法学来获得更多的重用扩展性、更全面的功能覆盖率,以及更合理的层次化验证结构。本文主要提出了一种基于SystemVerilog的VMM验证方法学的验证环境。在这个验证环境中,验证了一个8位的MCU,这个MCU主要应用在数据卡项目中,主要特点是时钟周期与指令周期相等,并且相对于标准MUC指令需要时钟周期较少。通常验证MCU都会应用以前的16进制代码读入ROM中,通过仿真观察波形以及输出来确认功能正确,每次只能根据实际应用程序测试对应的一部分MCU功能,缺少一个量化的指标,而且每次改动MCU,需要重新检查结果,效率比较低,而且验证质量无法保证。这里实现了用SystemVerilog来搭建一个基于VMM验证方法学的可移植、重用、扩展、完全自动检查、具有层次化结构的MCU验证平台。这里运用了VMM方法学,设计了一个层次化的验证结构,可以较简单地移植并验证其他类型的MCU,抽象了MCU指令,并且通过约束产生随机指令激励,可以实现遍历所有指令以及地址,另外功能覆盖率模型帮助能够收集并监测覆盖率。  相似文献   

9.
刘萌  李康  马佩军  史江一 《电子器件》2011,34(3):320-323
描述了一种基于SystemVerilog的网络处理器验证平台设计.该验证平台基于VMM架构,采用SystemVerilog语言编写所需的验证组件和功能覆盖率代码,并在设计代码中插入断言(SVA),将两者结合起来,能够快速、准确的定位出网络处理器在执行过程中发生的错误,有效对其进行功能验证.  相似文献   

10.
基于断言语言SVA的设计验证方法   总被引:1,自引:0,他引:1  
查欣 《现代电子技术》2010,33(10):5-7,14
基于断言SVA的验证是一种有价值的主流验证技术。断言特别适合于描述时序特性和因果特性。作为Sys-tem Verilog的重要组成部分,SVA提供了丰富的断言指令,能有效地提高验证测试工作的质量和效率。在此,首先介绍断言验证语言SVA,通过与Verilog验证对比,说明SVA在时序特性和因果特性验证上的优势,证明基于断言的验证是SoC设计验证的一种有效方法,能够有效地提高验证效率。  相似文献   

11.
杨慧敏 《电子技术》2012,(7):66-67,59
在介绍译码器工作原理的基础上,介绍了用VHDL语言实现译码器的设计方案,给出了用VHDL语言实现译码器的源程序,并用Max+plusII工具软件对其进行了模拟仿真验证。  相似文献   

12.
介绍SystemVerilogVMM验证方法学在LCDController验证中的应用,指出它相对于传统Verilog验证方法的1优点,重点研究功能覆盖率的收敛技术,实验比较了多种具体的实现方法。实验结果表明,由于CCT能够收集覆盖信息,形’成闭环负反馈,以控制随机变量的生成,从而在实现快速收敛的目标方面取得了显著的效果。  相似文献   

13.
A method for generation of design verification tests from behavior-level VHDL programs is presented. The method generates stimuli to execute desired control-flow paths in the given VHDL program. This method is based on path enumeration, constraint generation and constraint solving techniques that have been traditionally used for software testing. Behavioral VHDL programs contain multiple communicating processes, signal assignment statements, and wait statements which are not found in traditional software programming languages. Our model of constraint generation is specifically developed for VHDL programs with such constructs. Control-flow paths for which design verification tests are desired are specified through certain annotations attached to the control statements in the VHDL programs. These annotations are used to enumerate the desired paths. Each enumerated path is translated into a set of mathematical constraints corresponding to the statements in the path. Methods for generating constraint variables corresponding to various types of carriers in VHDL and for mapping various VHDL statements into mathematical relationships among these constraint variables are developed. These methods treat spatial and temporal incarnations of VHDL carriers as unique constraint variables thereby preserving the semantics of the behavioral VHDL programs. Constraints are generated in the constraint programming language CLP(R) and are solved using the CLP(R) system. A solution to the set of constraints so generated yields a design verification test sequence which can be applied for executing the corresponding control path when the design is simulated. If no solution exists, then it implies that the corresponding path can never be executed. Experimental studies pertaining to the quality of path coverage and fault coverage of the verification tests are presented  相似文献   

14.
一种新的基于FPGA的数据格式转换方法   总被引:1,自引:1,他引:0  
针对目前多数的FPGA都支持浮点IP核,却较少关注数据源获取的问题,提出了一种数据格式转换方法。使用VHDL语言,采用流水线处理方式将ASCII码所表示的一定范围内的实数转换为单精度浮点数。经过ModelSim功能仿真和实际下载验证,该设计的转换时间可达10-1μs量级。利用Matlab对转换结果进行分析验证,该方法的转换精度可达10-9。在此采用的设计结构合理,可为浮点IP核提供数据源。  相似文献   

15.
各种数字系统的终端设备都需要对十进制信息进行数码显示,LED(发光二极管)是最常用的显示器件。文中在FPGA(现场可编程门阵列)的硬件基础上,运用VHDL(甚高速集成电路硬件描述语言)对4位LED的显示管理进行了设计,增加了以往显示中所没有的闪烁和独立关断某一路的显示功能.同时使用MAX+PLUSⅡ开发软件对设计电路进行了功能仿真和硬件验证。设计具有一定的实用性和可扩展性。  相似文献   

16.
虞致国  魏敬和 《电子与封装》2010,10(1):21-23,34
调试系统的设计和验证是多核SoC设计中的重要环节。基于某双核SoC的设计,提出一个片上硬件调试构架,利用FPGA构建该调试系统的硬件验证平台。双核SoC调试系统验证平台利用System Verilog DPI,将RealView调试器、Keil C51及目标芯片的验证testbench集成在一起,实现了双核SoC调试系统的RTL级调试验证。利用该平台,在RTL仿真验证阶段可方便地对ARM和8051核构成的双核SoC进行调试,解决仿真中出现的问题,从而有效缩短设计周期,并提高验证效率。该双核SoC调试系统验证平台的实现对其他系统芯片设计具有一定的参考价值。  相似文献   

17.
The design and functional verification of the 4-phase adiabatic logic implementation take longer due to the complexity of synchronizing the power-clock phases. Additionally, as the adiabatic system scales, the amount of time in debugging errors increases, thus, increasing the overall design and verification time. This paper proposes a VHDL-based modelling approach for speeding up the design and verification time of the 4-phase adiabatic logic systems. The proposed approach can detect the functional errors, allowing the designer to correct them at an early design stage, leading to substantial reduction of the design and debugging time. The originality of this approach lies in the realization of the trapezoidal power-clock using function declaration for the four periods namely; Evaluation (E), Hold (H), Recovery (R) and Idle (I) exclusively. The four periods are defined in a VHDL package followed by a library design which contains the behavioural VHDL model of adiabatic NOT/BUF logic gate. Finally, this library is used to model and verify the structural VHDL representations of the 4-phase 2-bit ring-counter and 3-bit up-down counter, as design examples to demonstrate the practicality of the proposed approach.  相似文献   

18.
19.
田毅  李宏  马腾达  薛茜男 《电子器件》2013,36(4):535-539
机载应答机对飞机安全有重大的影响,应当在功能仿真阶段模拟真实信号的脉冲宽度(含阈值)以对其中的可编程逻辑器件进行严格验证。首先研究引用标准正态分布随机生成脉冲宽度和间隔,并按照实际应用改进了正态分布算法。然后在对电子器件及IP核评估的基础上,通过SystemVerilog验证语言搭建验证平台,并使用改进后的算法生成验证激励。最后在某型应答机验证过程进行了应用,达到了高效、准确验证的目的。  相似文献   

20.
简要介绍了可编程逻辑器件CPLD和FPGA的结构和特点,着重介绍了VHDL语言的特点及选择VHDL的理由。通过几个实际应用中碰到的问题,介绍了使用VHDL的一点体会。最后,给出了一个成功应用VHDL设计的机械设备及其带来的优点。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号