首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Highly doped (~2×1019 cm-3) n- and p-type 6H-SiC strain sensing mesa resistors configured in Wheatstone bridge integrated beam transducers were investigated to characterize the piezoresistive and electrical properties. Longitudinal and transverse gauge factors, temperature dependence of resistance, gauge factor (GF), and bridge output voltage were evaluated. For the n-type net doping level of 2×1019 cm-3 the bridge gauge factor was found to be 15 at room temperature and 8 at 250°C. For this doping level, a TCR of -0.24%/°C and -0.74%/°C at 100°C was obtained for the n- and p-type, respectively. At 250°C, the TCR was -0.14%/°C and -0.34%/°C, respectively. In both types, for the given doping level, impurity scattering is implied to be the dominant scattering mechanism. The results from this investigation further strengthen the viability of 6H-SiC as a piezoresistive pressure sensor for high-temperature applications  相似文献   

2.
The reliability of high-performance AlInAs/GaInAs heterojunction bipolar transistors (HBTs) grown by molecular beam epitaxy (MBE) is discussed. Devices with a base Be doping level of 5×1019 cm-3 and a base thickness of approximately 50 nm displayed no sign of Be diffusion under applied bias. Excellent stability in DC current gain, device turn-on voltage, and base-emitter junction characteristics was observed. Accelerated life-test experiments were performed under an applied constant collector current density of 7×104 A/cm2 at ambient temperatures of 193, 208, and 328°C. Junction temperature and device thermal resistance were determined experimentally. Degradation of the base-collector junction was used as failure criterion to project a mean time to failure in excess of 107 h at 125°C junction temperature with an associated activation energy of 1.92 eV  相似文献   

3.
Results presented in this letter demonstrate that the effective channel mobility of lateral, inversion-mode 4H-SiC MOSFETs is increased significantly after passivation of SiC/SiO2 interface states near the conduction band edge by high temperature anneals in nitric oxide. Hi-lo capacitance-voltage (C-V) and ac conductance measurements indicate that, at 0.1 eV below the conduction band edge, the interface trap density decreases from approximately 2×1013 to 2×1012 eV-1 cm-2 following anneals in nitric oxide at 1175°C for 2 h. The effective channel mobility for MOSFETs fabricated with either wet or dry oxides increases by an order of magnitude to approximately 30-35 cm2/V-s following the passivation anneals  相似文献   

4.
Thin film n-channel transistors have been fabricated in polycrystalline silicon films crystallized using hydrogen plasma seeding, by using several processing techniques with 600 to 625°C or 1000°C as the maximum process temperature. The TFTs from hydrogen plasma-treated films with a maximum process temperature of 600°C, have a linear field-effect mobility of ~35 cm2/Vs and an ON/OFF current ratio of ~106, and TFTs with a maximum process temperature of 1000°C, have a linear field-effect mobility of ~100 cm2/Vs and an ON/OFF current ratio of ~107. A hydrogen plasma has also then been applied selectively a in the source and drain regions to seed large crystal grains in the channel. Transistors made with this method with maximum temperature of 600°C showed a nearly twofold improvement in mobility (72 versus 37 cm2 /Vs) over the unseeded devices at short channel lengths. The dominant factor in determining the field-effect mobility in all cases was the grain size of the polycrystalline silicon, and not the gate oxide growth/deposition conditions. Significant increases in mobility are observed when the grain size is in order of the channel length. However the gate oxide plays an important role in determining the subthreshold slope and the leakage current  相似文献   

5.
Characteristics of p-n junction fabricated by aluminum-ion (Al+) or boron-ion (B+) implantation and high-dose Al+-implantation into 4H-SiC (0001) have been investigated. By the combination of high-dose (4×1015 cm-2) Al+ implantation at 500°C and subsequent annealing at 1700°C, a minimum sheet resistance of 3.6 kΩ/□ (p-type) has been obtained. Three types of diodes with planar structure were fabricated by employing Al+ or B+ implantation. B +-implanted diodes have shown higher breakdown voltages than Al+-implanted diodes. A SiC p-n diode fabricated by deep B+ implantation has exhibited a high breakdown voltage of 2900 V with a low on-resistance of 8.0 mΩcm2 at room temperature. The diodes fabricated in this study showed positive temperature coefficients of breakdown voltage, meaning avalanche breakdown. The avalanche breakdown is discussed with observation of luminescence  相似文献   

6.
A 2-mm×2-mm, 4H-SiC, asymmetrical npnp gate turn-off (GTO) thyristor with a blocking voltage of 3100 V and a forward current of 12 A is reported. This is the highest reported power handling capability of 37 kW for a single device in SiC. The 5-epilayer structure utilized a blocking layer that was 50 μm thick, p-type, doped at about 7-9×1014 cm-3. The devices were terminated with a single zone junction termination extension (JTE) region formed by ion-implantation of nitrogen at 650°C. The device was able to reliably turn-on and turn-off 20 A (500 A/cm2) of anode current with a turn-on gain (IK/IG, on) of 20 and a turn-off gain (IK/IG, off) of 3.3  相似文献   

7.
A new Si thin-film transistor (TFT) has been proposed where only one grain-boundary exists at the center of channel, and the source and drain are within single grains with good crystallinity. The device fabricated by an excimer-laser crystallization method at the maximum temperature of 500°C, had the on-off current ratio ≅106 , the field-effect mobility ≅330 cm2/Vs and the subthreshold swing ≅1.1 V/dec, respectively, For the device processed at 800°C, they are >106, >450 cm2 /Vs and ≅0.51 V/dec, respectively  相似文献   

8.
The authors report the first low loss channel waveguides (0.10 0.15 dB/cm) formed in fused silica by the implantation of MeV Ge ions. The loss coefficient α was measured as a function of ion dose (8×1013-8×1016 ion/cm2) and annealing temperature (250 to 600°C) at 1300 nm. The as-implanted waveguides exhibited a minimum value of α=0.9 dB/cm at an intermediate range of dose with a reduction to 0.10-0.15 dB/cm after annealing at 500°C  相似文献   

9.
p+-n shallow-junction diodes were fabricated using on-axis Ga69 implantation into crystalline and preamorphized Si, at energies of 25-75 keV for a dose of 1×1015/cm 2, which is in excess of the dosage (2×1014/cm2) required to render the implanted layer amorphous. Rapid thermal annealing at 550-600°C for 30 s resulted in the solid-phase epitaxial (SPE) regrowth of the implanted region accompanied by high Ga activation and shallow junction (60-130 nm) formation. Good diode electrical characteristics for the Ga implantation into crystalline Si were obtained; leakage current density of 1-1.5 nA/cm2 and ideality factor of 1.01-1.03. Ga implantation into preamorphized Si resulted in a two to three times decrease in sheet resistance, but a leakage current density orders of magnitude higher  相似文献   

10.
4500 V 4H-SiC p-i-n junction rectifiers with low on-state voltage drop (3.3-4.2 V), low reverse leakage current (3×10-6 A/cm2), and fast switching (30-70 ns) have been fabricated and characterized. Forward current-voltage measurements indicate a minimum ideality factor of 1.2 which confirms a recombination process involving multiple energy levels. Reverse leakage current exhibits a square root dependence on voltage below the punchthrough voltage where leakage currents of less than 3×10-6 A/cm2 are measured. Reverse recovery measurements are presented which indicate the presence of recombination at the junction perimeter where a surface recombination velocity of 2-8×105 cm/s is found. These measurements also indicate drift layer bulk carrier lifetimes ranging from 74 ns at room temperature to 580 ns at 250°C  相似文献   

11.
The reduction of trap-state densities by plasma hydrogenation in n-channel polysilicon thin-film transistors (poly-TFTs) fabricated using a maximum temperature of 600°C has been studied. Hydrogenated devices have a mobility of ~40 cm2/V×5, a threshold voltage of ~2 V, an inverse subthreshold of ~ 0.55 V/decade, and a maximum on/off current ratio of 5×108. The effective channel length decreases by ~0.85 μm after a short hydrogenation which may be attributed to the activation of donors at trap states near the source/drain junctions. Trap-state densities decrease from 1.6×1012 to 3.5×1011 cm-2 after hydrogenation, concomitant with the reduction of threshold voltage. Using the gate lengths at which the trap-state densities deviate from the long-channel values as markets for the leading edge of passivation, the apparent hydrogen diffusivity is found to be 1.2×10-11 cm2/s at 350°C in the TFT structure  相似文献   

12.
Key technologies for fabricating polycrystalline silicon thin film transistors (poly-Si TFTs) at a low temperature are discussed. Hydrogenated amorphous silicon films were crystallized by irradiation of a 30 ns-pulsed XeCl excimer laser. Crystalline grains were smaller than 100 nm. The density of localized trap states in poly-Si films was reduced to 4×1016 cm-3 by plasma hydrogenation only for 30 seconds. Remote plasma chemical vapor deposition (CVD) using mesh electrodes realized a good interface of SiO 2/Si with the interface trap density of 2.0×1010 cm-2 eV-1 at 270°C. Poly-Si TFTs were fabricated at 270°C using laser crystallization, plasma hydrogenation and remote plasma CVD. The carrier mobility was 640 cm2/Vs for n-channel TFTs and 400 cm2/Vs for p-channel TFTs. The threshold voltage was 0.8 V for n-channel TFTs and -1.5 V for p-channel TFTs. The leakage current of n-channel poly-Si TFTs was reduced from 2×10-10 A/μm to 3×10-13 A/μm at the gate voltage of -5 V using an offset gate electrode with an offset length of 1 μm  相似文献   

13.
Silicon Carbide (4H-SiC), power UMOSFETs were fabricated and characterized from room temperature to 200°C. The devices had a 12-μm thick lightly doped n-type drift layer, and a nominal channel length of 4 μm. When tested under FluorinertTM at room temperature, blocking voltages ranged from 1.0 kV to 1.2 kV. Effective channel mobility ranged from 1.5 cm2/V.s at room temperature with a gate bias of 32 V (3.5 MV/cm) up to 7 cm2/V.s at 100°C with an applied gate bias of 26 V (2.9 MV/cm). Specific on-resistance (Ron,sp) was calculated to be as low as 74 mΩ.cm2 at 100°C under the same gate bias  相似文献   

14.
We report the characteristics of large area (3.3 × 3.3 mm 2) high-voltage 4H-SiC DiMOSFETs. The MOSFETs show a peak MOS channel mobility of 22 cm2/V·s and a threshold voltage of 8.5 V at room temperature. The DiMOSFETs exhibit an on-resistance of 4.2 mΩ·cm2 at room temperature and 85 mΩ·cm2 at 200°C. Stable avalanche characteristics at approximately 2.4 kV are observed. An on-current of 10 A is measured on a 0.103 cm2 device. High switching speed is also demonstrated. This suggests that the devices are capable of high-voltage, high-frequency, low-loss switching applications  相似文献   

15.
Epitaxial p-type Schottky diodes have been fabricated on p+ -substrate. While the activation energy of the epitaxial layer conductivity is 390 meV, that of the substrate is only 50 meV. At forward bias the substrate conductivity dominates above 150°C, leading for a 5×10-5 cm2 area contact to a series resistance of 14 Ω at 150°C reducing to 8 Ω at 500°C. To our knowledge, this is the lowest series resistance reported so far for a diamond Schottky diode enabling extremely high current densities of 103 A/cm and a current rectification ratio at ±2 V of 105 making these diodes already attractive as high temperature rectifiers  相似文献   

16.
Detailed turn-on measurements of 4H-Silicon Carbide (SiC) npnp thyristors are presented for a wide range of operating conditions. Comparisons with similarly-rated silicon and Gallium Arsenide thyristors show a superior rise time and pulsed turn-on performance of SiC thyristors. Rise time for a 400 V blocking voltage, 4 V forward drop (2.8×103 A/cm2) SiC thyristor has been found to be of the order of 3-5 ns. Pulsed turn on measurements show a residual voltage of only 50 V when a current density of 105 A/cm2 (35 A) was achieved in 20 ns  相似文献   

17.
GaN MOS capacitors were fabricated using silicon dioxide deposited by low-pressure chemical vapor deposition oxide at 900°C. The MOS capacitor flatband voltage shift versus temperature was used to determine a pyroelectric charge coefficient of 3.7 × 109 q/cm2-K, corresponding to a pyroelectric voltage coefficient of 7.0 × 104 V/m-K  相似文献   

18.
A new post-metallization annealing technique was developed to improve the quality of metal-oxide-semiconductor (MOS) devices using SiO 2 films formed by a parallel-plate remote plasma chemical vapor deposition as gate insulators. The quality of the interface between SiO2 and crystalline Si was investigated by capacitance-voltage (C-V) measurements. An H2O vapor annealing at 270°C for 30 min efficiently decreased the interface trap density to 2.0×1010 cm-2 eV-1, and the effective oxide charge density from 1×10 12 to 5×109 cm-2. This annealing process was also applied to the fabrication of Al-gate polycrystalline silicon thin film transistors (poly-Si TFT's) at 270°C. In p-channel poly-Si TFT's, the carrier mobility increased from 60-400 cm2 V-1 s-1 and the threshold voltage decreased from -5.5 to -1.7 V  相似文献   

19.
Fundamental operation of the first buried-channel charge-coupled device (BCCD) in 6H-SiC is presented. The n-type buried-channel was formed by ion implantation of nitrogen, and a double level overlapping-polysilicon-gate process was adapted to the SiC MOS system. An electron mobility of 200 cm2/Vs was measured in the channel, which is doped 1.6×1017 cm-3. An eight-stage, four-phase BCCD shift register was operated in the pseudo-two-phase configuration at room temperature. At 5.5 kHz, the charge transfer efficiency is greater than 99.4%  相似文献   

20.
Ohmic contacts of Au/Pd/Ti/Ni to p-ZnTe show a minimum specific contact resistance of 10-6 Ωcm2 for a p-type doping level of 3×1019 cm-3 and at an annealing temperature of 300°C. The Ni and Ti layers are very effective in improving the electrical properties of these contact  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号