首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
High quality, ultrathin (<30 Å) SiO2/Si3 N4 (ON) stacked film capacitors have been fabricated by in situ rapid-thermal multiprocessing. Si3N4 film was deposited on the RTN-treated poly-Si by rapid-thermal chemical vapor deposition (RTCVD) using SiH4 and NH3, followed by in situ low pressure rapid-thermal reoxidation in N2O (LRTNO) or in O2 (LRTO) ambient. While the use of low pressure reoxidation suppresses severe oxidation of ultrathin Si3N4 film, the use of N2O-reoxidation significantly improves the quality of ON stacked film, resulting in ultrathin ON stacked film capacitors with excellent electrical properties and reliability  相似文献   

2.
A capacitor technology developed to obtain extremely thin Ta2 O5 dielectric film with an effective SiO2 film thickness down to 3 nm (equivalent to 11 fF/μm2) for a 1.5-V, low-power, high-density, 64-Mb DRAM is discussed. The Ta2 O5 has low leakage current, low defect density, and excellent step coverage. The key process is two-step annealing after the deposition of the film by thermal chemical vapor deposition (CVD). The first step involves ozone (O3) annealing with ultraviolet light irradiation, which reduces the leakage current. The second step is dry oxygen (O2) annealing, which decreases the defect density. A more significant reduction in the leakage current is attained by the combination of the two annealing steps  相似文献   

3.
A plasma etching process for patterning LPCVD (low-pressure chemical vapor deposition) Si3N4 which has been formed on thin thermally grown SiO2 has been developed and characterized with an Applied Materials 8110 batch system using 100-mm-diameter silicon wafers. To fulfill the primary process objectives of minimal critical dimension (CD) loss (~0.08 μm), vertical profiles after etch, retention of some of the underlying thermal SiO2, and batch etch uniformity, the reactor has been characterized by evaluating the effects of variation of reactor pressure (15 to 65 mTorr), O2 concentration by flow rate (30 to 70%) of an O2/CHF2 mixture, and DC bias voltage (-200 to -550 V). Analysis of the resulting etch rate, etch uniformity, dimensional, and profile data suggests that satisfactory processing may be achieved at low reactor pressure (~25 mTorr), 50-60% O2 by flow rate in O2/CHF3, and low DC bias (-200 to -250 V)  相似文献   

4.
We have demonstrated that the performance of the inverted staggered, hydrogenated amorphous silicon thin film transistor (a-Si:H TFT) is improved by a He, H2, NH3 or N2 plasma treatment for a short time on the surface of silicon nitride (SiN x) before a-Si:H deposition. With increasing plasma exposure time, the field-effect mobility increase at first and then decrease, but the threshold voltage changes little. The a-Si:H TFT with a 6-min N2 plasma treatment on SiNx exhibited a field effect mobility of 1.37 cm2/Vs, a threshold voltage of 4.2 V and a subthreshold slope of 0.34 V/dec. It is found that surface roughness of SiNx is decreased and N concentration in the SiN x at the surface region decreases using the plasma treatment  相似文献   

5.
Conventionally directionally solidified (DS) and silicon film (SF) polycrystalline silicon solar cells are fabricated using gettering and low temperature plasma enhanced chemical vapor deposition (PECVD) passivation. Thin layer (~10 nm) of PECVD SiO2 is used to passivate the emitter of the solar cell, while direct hydrogen rf plasma and PECVD silicon nitride (Si3N4) are implemented to provide emitter and bulk passivation. It is found in this work that hydrogen rf plasma can significantly improve the solar cell blue and long wavelength responses when it is performed through a thin layer of PECVD Si3N4. High efficiency DS and SF polycrystalline silicon solar cells have been achieved using a simple solar cell process with uniform emitter, Al/POCl3 gettering, hydrogen rf plasma/PECVD Si3N4 and PECVD SiO2 passivation. On the other hand, a comprehensive experimental study of the characteristics of the PECVD Si3N4 layer and its role in improving the efficiency of polycrystalline silicon solar cells is carried out in this paper. For the polycrystalline silicon used in this investigation, it is found that the PECVD Si3N4 layer doesn't provide a sufficient cap for the out diffusion of hydrogen at temperatures higher than 500°C. Low temperature (⩽400°C) annealing of the PECVD Si3N 4 provides efficient hydrogen bulk passivation, while higher temperature annealing relaxes the deposition induced stress and improves mainly the short wavelength (blue) response of the solar cells  相似文献   

6.
This paper focuses attention on electrical properties of silicon oxide films grown by oxygen radical generated in Kr/O2 mixed high-density microwave-excited plasma at 400°C. They represent high growth rate, low activation energy, high dielectric strength, high charge-to-breakdown, and low interface trap density and bulk charge enough to replace thermally grown silicon oxide  相似文献   

7.
Silicon nitride films grown by plasma-enhanced chemical vapor deposition (PECVD) are useful for a variety of applications, including anti-reflection coatings in solar cells, passivation layers, dielectric layers in metal/insulator structures, and diffusion masks, PECVD nitride films are known to contain hydrogen, and defect passivation by hydrogenation enhances efficiency in polycrystalline silicon solar cells. PECVD systems are controlled by many operating variables, including RF power, pressure, gas flow rate, reactant composition, and substrate temperature. The wide variety of processing conditions, as well as the complex nature of particle dynamics within a plasma, makes tailoring Si3N4 film properties very challenging, since it is difficult to determine the exact relationship between desired film properties and controllable deposition conditions. In this study, silicon nitride PECVD modeling using neural networks has been investigated. The deposition of Si3N4 was characterized via a central composite experimental design, and data from this experiment was used to train optimized feed-forward neural networks using the back-propagation algorithm. From these neural process models, the effect of deposition conditions on film properties has been studied. It was found that the process parameters critical to increasing hydrogenation and therefore enhancing carrier lifetime in polysilicon solar cells are temperature, silane, and ammonia flow rate. The deposition experiments were carried out in a Plasma Therm 700 series PECVD system  相似文献   

8.
This study describes a novel technique to form low temperature oxide (<350°C). Low-temperature oxides were formed by N2 O plasma in the plasma-enhanced chemical vapor deposition (PECVD) system with a CF4 pretreatment. These oxides demonstrate excellent current-voltage (I-V) characteristics comparable to thermally grown oxides. Experimental results indicate that CF4 plasma treatment can significantly improve the reliability of low-temperature oxides. With excellent electrical properties, the technique is highly promising for low-temperature processes  相似文献   

9.
A non-stoichiometric silicon oxide film has been deposited by evaporating SiO as a source material in Ar and O2 mixed gas. The film is composed of SiO and SiO2, and has a porous structure. The SiO2 results from some part of SiO reacting with O2 and its amount depends on the pressure in the chamber. The residual SiO in the film can be photo-oxidized into SiO2 by ultraviolet radiation with a Hg lamp. The dielectric constant of the film after photo-oxidation is 1.89±0.04 (at frequency of 1 MHz), which shows that this porous structure film is promising for potential application as a low-k dielectric.  相似文献   

10.
Double polysilicon layer structures separated by a silicon nitride layer are frequently used as structural multilayers in surface micromachining. In this paper the effect of three types of plasma etching chemistries for nitride patterning and post-processing on the characteristics of both mechanical adhesion and electrical contact resistance between the two polysilicon layers is investigated. It was found that all three chemistries yielded good mechanical adhesion between the two polysilicon layers. Both the chemistry based on CF4 /SF6, with a poor selectivity (0.7) of etching nitride over the underlying polysilicon layer, and the chemistry based on CHF 3/CF4, with a selectivity of 3, provided good electrical contact. The chemistry based on CHF3/N2, which yielded a selectivity of 15, on the other hand, resulted in a polymer film between the two polysilicon layers, resulting in electrical insulation. This polymer film can be effectively removed by using post-processing, which involves in-situ oxygen plasma treatment. Therefore, a chemistry such as that based on CHF3/CF4 can be applied when the lower polysilicon thickness allows a moderate selectivity, whereas the CHF3/N 2 chemistry is favored when high-selectivity is required. The latter, however, requires in-situ post-processing  相似文献   

11.
Silicon nitride film deposited by LPCVD with newly developed in situ HF vapor cleaning has been studied and applied to fabricate dielectric films for stacked DRAM capacitors. Using this method, an oxide-free surface of underlaid poly-Si can be obtained. Silicon nitride film deposited on this surface has been verified by FTIR measurement to have the stoichiometrically proper composition of Si3N4 . However, the film was found to be selectively deposited on poly-Si electrodes. This selective deposition degrades the reliability of the stacked capacitor, because the silicon nitride can not completely cover the periphery of poly-Si electrodes on SiO2. We propose a simple process that avoids the problem making it possible to apply silicon nitride film to stacked-capacitor fabrication. Stacked capacitors fabricated by this process exhibit very low leakage current and high electrical reliability even for ultra-thin silicon nitride films less than 5 nm thick  相似文献   

12.
During gate mesa plasma etching of InN/InAlN field effect transistors the apparent conductivity in the channel can be either increased or decreased through three different mechanisms. If hydrogen is part of the plasma chemistry, hydrogen passivation of the shallow donors in the InAlN can occur, we find diffusion depths for 2H of ≥ 0.5 micron in 30 mins at 200°C. The hydrogen remains in the material until temperatures ≥ 700°C. Energetic ion bombardment in SF6/O2 or BCl3/Ar plasmas also compensates the doping in the InAlN by creation of deep acceptor states. Finally the conductivity of the immediate InAlN surface can be increased by preferential loss of N during BCl3 plasma etching, leading to poor rectifying contact characteristics when the gate metal is deposited on this etched surface. Careful control of plasma chemistry, ion energy and stoichiometry of the etched surface are necessary for acceptable pinch-off characteristics.  相似文献   

13.
The systematic relation between thin film transistors' (TFT's) characteristics and the deposition conditions of amorphous silicon nitride (a-SiN) films and hydrogenated amorphous silicon (a-Si:H) films is investigated. It is observed that field effect mobility μFE and threshold voltage Vth of the TFT's strongly depend on the deposition conditions of these films. The maximum μFE of 0.88 cm2/V·s is obtained for the TFT of which a-SiN film is deposited at a pressure of 85 Pa. This phenomenon is due to the variation of the interface states density between a-Si:H film and a-SiN film  相似文献   

14.
Silicon carbide (SiC) is becoming one of the most important electronic materials in recent years. Single crystalline SiC is a wide-bandgap semiconductor, which finds a wide range of applications in high temperature, power consuming, and fast-acting electron devices. Common methods applied for silicon carbide films deposition are: plasma-enhanced CVD under plasma decomposition of organic compounds such as CH4, C2H2, C3H8. These methods are complicated and expensive.

In this work we grew silicon–carbon films as Si–C thin film multilayer system with successive layers of Si and C both of equal thicknesses. The Si–C systems grown in our experiments consisted of 40 sub-layers, deposited by DC magnetron sputtering on silicon, on glass, and on Au substrates in argon plasma environment. Sputtering was provided continuously from two targets: graphite and single-crystalline silicon. Optical and electro-physical properties of the deposited thin film systems were investigated. Relative permittivity of the grown thin film systems was found to be the main and most important parameter of the Si–C system.  相似文献   


15.
The fabrication of nanocrystalline silicon light-emitting diodes is reported using a novel plasma-enhanced hydrogenation method. The fabrication process consisted of the deposition of amorphous silicon on a silicon substrate, a hydrogen plasma treatment, and subsequent annealing, and the deposition of TiO2, indium-tin oxide, and metal contact layers. The entire process was performed at temperatures below 400 degC and is compatible with standard silicon fabrication technologies. The current-voltage (I-V) characteristics of the device showed a rectifying diode behavior where electrons tunneled through the thin TiO2 layer and recombined with the holes injected from the P-type silicon substrate leading to photon generation. The structure of the nanocrystalline silicon films was investigated by scanning electron and transmission electron microscopies, and the spectral distribution of the emitted light was measured by a cathodoluminescence  相似文献   

16.
Zirkon™ LK2000 version 1 dielectric film (Zirkon™ is a trademark of Shipley Company L.L.C), a porous methylsilsesquioxane (MSQ)-based spin-on dielectric with a k value targeted at 2.0, has been integrated in single damascene structures. For patterning, a dual SiC/SiO2 CVD hard-mask was used. Surface treatments (DUV ozone (DUV-O3), plasma treatments) were tested to solve the adhesion issues encountered at the CVD hard-mask and the low-k interface. Adhesion is only improved when plasma treatments are used. Analyses (FTIR, TDS, nano-indentation) show that the plasma treatments only modify the low-k surface. For integration, a plasma treatment (He, NH3, N2/O2) prior to deposition of the CVD hard-mask was included. After patterning, copper metallization and CMP of the wafers, electrical evaluation shows that, compared to the reference wafer (no plasma treatment), plasma-treated wafers have a higher yield and a lower sheet resistance. The RC delay is slightly higher for the plasma-treated wafers than for the reference wafer.  相似文献   

17.
张锋  舒适  齐永莲  刘震 《液晶与显示》2015,30(6):915-919
主要分析了黑矩阵残留程度与SiNx、SiON、SiOx等基底表面亲水特性的关系,研究了等离子体处理对基底表面亲水特性以及黑矩阵残留的影响。首先,通过原子力显微镜和扫描电子显微镜对黑矩阵在不同基底表面的残留颗粒大小、表面粗糙度进行了测试。然后,使用接触角测试仪对不同基底表面的亲水特性进行了表征,分析了表面亲水特性和黑矩阵残留程度的关系。最后,研究了等离子体处理条件对基底表面亲水特性的影响,提出了采用O2/He等离子体对基底表面进行改性来解决黑矩阵的残留问题。实验结果表明:基底表面的水接触角越小、亲水性越强,黑矩阵在基底表面的残留越少;O2/He等离子体表面处理使基底表面的水接触角从17°降低到3°,增强了基底表面的亲水特性,并且黑矩阵工艺之后基底表面的粗糙度从3.06nm降低到0.69nm,消除了黑矩阵的残留。  相似文献   

18.
A new method of fabricating a-Si:H TFT with etching-stop structure has been proposed. Only one plasma-enhanced chemical vapor deposition is required in this new method and a PH3/H2 plasma treatment during the deposition has been used to form the TFT contact and thus saved another plasma deposition. With this method, a TFT of 500 Å active layer has been fabricated successfully. The drain current and saturation mobility of this device is 2.4×10-7 A and 0.1 cm2/V sec, respectively, which is comparable to the conventional fabricating method. The plasma treatment will also form an additional leakage path on the TFT top surface and increase the TFT subthreshold slope. However, a current of less than 1 pA at VG=-2.4 V can still be obtained. The possible mechanism of the contact formation by the plasma treatment is also discussed  相似文献   

19.
It is reported for that H2 plasma followed by O2 plasma is more effective for passivating grain boundary states in polysilicon thin film. Polysilicon thin-film transistors (TFTs) made after H2/O2 plasma treatment can exhibit a turn-on threshold voltage of -0.1 V, a subthreshold swing of 0.154 V/decade, an ON/OFF current ratio Ion/Ioff over 1×108, and an electron mobility of 40.2 cm2 /V-s  相似文献   

20.
An organic SOG, the Hybird-Organic-Siloxane-Polymer (HOSP), has high applicability to ULSI processes, because of the low dielectric constant of about 2.5. However, the HOSP film will be damaged after photoresist removal. The function groups of HOSP will be destroyed by O2 plasma ashing and chemical wet stripper, which leads to electrical degradation. In order to avoid the issue, H2 plasma treatment is proposed to prevent HOSP film from photoresisit stripping damage. It is found that leakage current is decreased significantly and the dielectric constant is still maintained at a low k value even after photoresist stripping. Therefore, H2 plasma treatment is an effective technique to enhance the resistance of HOSP film against photoresist stripping damage.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号