首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
基于CPLD的交通控制系统设计   总被引:1,自引:0,他引:1  
本文介绍了交通路口感应控制的原理、设计思路,利用可编程逻辑器件CPLD,实现基于VHDL语言编写的交通灯控制系统。该系统通过外部输入信号可方便地设定交通灯的延迟时间,使交通灯控制数字电路设计得到了优化,提高了系统的灵活性、可靠性和可扩展性。  相似文献   

2.
针对串行通信总线协议(SPI)应用,提出了一种基于飞腾处理器FT2000/4和FPGA之间的通信设计方法,在FPGA中实现了SPI转局部总线模块和寄存器读写模块;在Modelism环境下对所设计的SPI从控制器进行了功能仿真验证,仿真结果表明,该SPI从控制器可与飞腾处理器内的SPI主控制器进行通信;在FT2000/4和FPGA的集成开发板上进行实测,通过扩展的RS422接口与422板卡进行通信,结果表明,在FPGA中设计的SPI从控制器工作正常.  相似文献   

3.
针对当前连续视频图像的空间冗余性较高,图像数据处理及时性较差的问题,设计了基于CPLD芯片控制的视频图像处理系统。将CPLD存储模块内提取的数据信息,平均分配至视频图像采集元件及图像边缘检测元件中,再借助信息传输信道,建立与VGA视频图像显示模块的物理连接,实现视频图像处理系统的硬件执行方案设计。利用分数像素差优化结果,规范子窗口的动态显示权限,控制连续视频图像在空间范围内的冗余化程度,完成图像源路数的智能控制处理。联合视频进程与多线程控制原理,实施数据包缓冲区的信息排序,再建立全新的视频流存储模式,实现视频流的完整播放。对比实验结果表明,与多路视频处理手段相比,应用CPLD芯片控制处理系统后,图像数据帧缓存速率明显提升,而VGA信息转换指标却大幅下降,在抑制连续视频图像空间冗余能力的同时,解决了图像数据处理不及时的问题。  相似文献   

4.
张亮  廖晓东  洪亲  张萧 《福建电脑》2010,26(6):144-145
针对AHB总线的从设备SMC(Static Memory Controller静态存贮控制器)和TW2835的并行接口的工作时序不同步的问题,设计了一种基于CPLD的总线适配器。采用xilinx公司的Xc9572xL高性能CPLD器件,基于VerilogHDL语言进行程序设计,解决了SMC和复用并行总线上的视频处理芯片TW2835时序不匹配的问题。使用ise软件进行RTL级仿真,功能仿真,时序仿真,经过验证调试得出了比较理想的结果,并成功的应用于嵌入式数字硬盘录像机的设计中。  相似文献   

5.
TDI CCD(Time Delay Integration Charge Coupled Device,TDI CCD)相机具有低噪声、高灵敏度、高量子效益、高分辨率、线性度好、动态范围大等特点,在实际应用中具有广阔的前景。采用IL-E2线阵TDI CCD芯片,通过分析其结构及时序要求,提出了一种基于CPLD的IL-E2线阵TDI CCD的驱动电路设计方案。并在QuartusⅡ7.0上利用Verilog HDL硬件描述语言设计了其驱动时序逻辑。最后通过实验验证本驱动时序发生器能够使TDI CCD清晰成像。  相似文献   

6.
接触式图像传感器(CIS)是图像扫描领域常用的传感器,在具体工程应用中,CIS传感器的图像采集方案有很大的差异,性能也参差不齐.针对CIS的高速采集提出了一种新的实现思路,相比传统的方案具有通用性好、采集速度高、易扩展、成本低等优点.详细论述了利用CPLD进行CIS传感器高速图像采集的设计方案,并对实验结果进行了详细地分析.  相似文献   

7.
基于CPLD器件的单稳态脉冲展宽电路   总被引:4,自引:1,他引:3  
袁绍明 《电子技术应用》2001,27(5):63-64,68
具体介绍了基于CPLD器件设计单稳态窄脉冲展宽电路的详细过程和这种单稳态窄脉冲展宽电路的特点,给出了相应的时序仿真波形,提出了提高展宽脉冲宽度精确度的方法。  相似文献   

8.
赵会良 《自动化应用》2023,(22):227-228
为推广和提升国产软硬件平台的视频解码技术,本文基于飞腾处理器、JM7200GPU、银河麒麟系统的国产软硬件平台研究分析了视频解码技术。实验结果表明,与纯软件的解码方式相比,使用JM7200进行视频硬解码可有效降低CPU占用率。  相似文献   

9.
本文介绍了开发虚拟仪器的过程,对利用虚拟仪器技术及VisualBasic软件构建示波器的软、硬件进行了研究,使用高性能的可编程逻辑器件(CPLD)实现数字电路的控制,并使用该器件基于串口实现了一种新的数据采集系统。试验结果表明,利用虚拟仪器技术构建的示波器不仅能实现传统示波器的基本功能,而且能够存储、再现、分析和处理波形,系统的灵活性更大,有利于用户自定义仪器的功能,从而可节省购置费用。  相似文献   

10.
为提高物流周转智能机器人的环境感知能力和避障能力,降低智能机器人运行中碰撞障碍物的概率,设计了一种基于CPLD控制模块的物流周转智能机器人控制系统;以CPLD控制器为核心,调整A/D模拟采集接口模块信号的连接形式,并设置与PWM寄存器相关的连接参数;给出了主机智能程序的决策流程,并适时调整PWM寄存器的整流参数,提升控制指令执行向量的匹配精度,以实现对智能机器人运动轨迹的精确控制;与传统机器人控制系统相比,基于CPLD控制模块的智能机器人能够更准确地感知外界环境的变化,精确规避障碍物。  相似文献   

11.
芦荣 《工业控制计算机》2013,26(6):47-48,50
对基于CPLD(Complex Programmable Logic Device)的PCI(Peripheral Component Interconnect)数据采集系统的技术进行了探讨和研究。包括硬件电路设计和驱动测试软件编写两部分,硬件电路根据软件发出的指令执行采集和传输存储操作,而测试软件平台则驱动硬件电路以实现对数据的管理。在Windows平台模块的WDM设备驱动程序开发方面,对Windows平台的WDM驱动程序进行研究,利用PLXMon编写了驱动程序和测试程序,对所编写的软件进行调试,测试结果满足设计需要。  相似文献   

12.
基于CPLD的线阵CCD数据采集系统的开发   总被引:6,自引:0,他引:6  
介绍了基于CPLD的线阵CCD数据采集系统的软硬件构成、工作原理、结构特点及设计方案,并对系统的测量数据进行了分析。该系统不仅测量精度高、速度快、安全性好,而且可以使以此数据采集系统为核心的测量仪器向小型化、智能化的方向发展。  相似文献   

13.
提出一种新的增强声源指向性的电路设计方法,设计了基于复杂可编程逻辑器件(CPLD)的相控声发射系统.该系统由滤波采样、信号延时、按键显示、D/A转换等电路组成,通过控制声波在空气中波阵面的耦合,实现声波的相控发射.试验表明,该系统能够较明显地增强声源指向性.  相似文献   

14.
基于CPLD的高速线阵TDI CCD驱动电路设计   总被引:1,自引:0,他引:1  
随靶场测试技术的要求提高,特别在高速飞行弹丸测试技术领域,对弹丸着靶的两维坐标的测量精度提出了更高要求,利用高速高灵敏度的CCD器件为核心的图像采集系统,采集弹丸过靶的图像,通过图像分析可提高其测量精度;基于CPLD技术,简述IL—E2 TDICCD的基本工作原理及其时序要求,根据其要求自行设计高速线阵IL--E2 TDICCD芯片图像采集所需的复杂时寄和CCD外围驱动电路;分析IL—E2 TDICCD外围驱动电路设计的基本原理与CPLD内部逻辑时序设计,完成线阵IL—E2 TDICCD图像采集的驱动时序电路;实践证明,该电路结构简单,可靠性高,满足测试要求。  相似文献   

15.
线阵CCD正常工作需要有稳定的外部电路的支持.介绍了线阵CCD的工作原理,并结合工程项目所使用的TCD1208AP的特性,设计了一种基于复杂可编程逻辑器件(CPLD)的驱动和数据采集电路.该电路各模块都利用标准VHDL语言编写,时序仿真的波形很理想,实际结果表明,该电路具有很强的实用性和先进性.  相似文献   

16.
基于CPLD的高速脉冲信号采集系统设计   总被引:1,自引:0,他引:1  
介绍了一种基于CPLD(复杂可编程逻辑器件)的高速脉冲信号采集系统的设计与实现方案.该系统最大的特点是对离散脉冲信号的幅值进行采样,采样过程完全由CPLD控制,无需CPU干预.采用VHDL语言与模块化的设计思想设计了A/D采集控制模块、数据存储控制模块、微处理器接口模块,实现了多个串行ADC的同步脉冲采样与数据的实时存...  相似文献   

17.
本文立足51单片机的总线扩展时序,运用CPLD实现51单片机的扩展电路系统,实现类似SOPC的电路结构系统。主要包含总线扩展电路、IO读写扩展电路、显示扩展电路、LCD控制电路和外部中断扩展电路等。通过一片CPLD芯片几乎可以实现任何外设的电路扩展,另外该CPLD电路板还可以单独实现数字电路的实验教学与应用,具有较强的教学应用价值。  相似文献   

18.
针对掌纹识别系统中的图像采集问题,提出了一种基于DSP和CPLD的掌纹图像采集系统的设计方法。将DSP的特殊设计结构作为算法处理核心,对掌纹图像进行采集、存储和处理。采用OV7620作为掌纹传感器,并利用CPLD完成DSP与0V7620之间的逻辑信号转换,设计出了一套实时、高性能的掌纹采集系统。实践证明,该图像采集系统运行稳定、可靠,具有一定的应用推广性和参考价值。  相似文献   

19.
王颖  赵硕 《测控技术》2011,30(2):73-77
分析了织机控制技术现状,提出了CPLD扩展的嵌入式织机监控系统设计方案.硬件部分完成CPLD+ARM9硬件平台设计,给出了CPLD开发板电路及CPLD功能电路的详细设计;阐述了系统软件设计,包括织机控制终端主程序流程、织机管理终端程序设计.系统硬件调试正常,并给出软件运行效果图,系统完成了高速织机实时控制及远程监控,实...  相似文献   

20.
描述了SJA1000的功能特点,分析了SJA1000的读写时序,讨论了与DSP之间的接口,给出了基于CPLD的软件模拟时序方法,实现TMS320F240对SJA1000的读写访问.该方法可以广泛应用于其他单片机外围器件与DSP的接口设计中.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号