首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 397 毫秒
1.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

2.
The reactive ion etching (RIE) technique was used to etch polycrystalline diamond thin films. In this study we investigate the influence of process parameters (total pressure, rf power, gas composition) of standard capacitively coupled plasma RIE system on the etching rate of diamond films. The surface morphology of etched diamond films was characterized by Scanning Electron Microscopy and the chemical composition of the etched film part was investigated by Raman Spectroscopy.We found that the gas composition had a crucial effect on the diamond film morphology. The use of CF4 gas resulted in flatter surfaces and lateral-like etching, while the use of pure O2 gas resulted in needle-like structures. Addition of argon to the reactant precursors increased the ion bombardment, which in turn increased the formation of non-diamond phases. Next, increasing the rf power from 100 to 500 W increased the etching rate from 5.4 to 8.6 μm/h. In contrast to this observation, the rise of process pressure from 80 to 150 mTorr lowered the etching rate from 5.6 down to 3.6 μm/h.  相似文献   

3.
A TEOS/O2 supermagnetron double electrode plasma system was used to deposit SiO2 films. Deposition rates were measured as a function of rf power and substrate stage temperature. With an increase of rf power on both electrodes from 40 to 80W, the deposition rate increased; however, with a further increase of rf power from 80 to 120W, the deposition rate ceased to increase or decreased only a small amount. The presence of O-H bonds from bonded water in the film was evaluated using buffered HF (BHF) etching solution. With an increase of rf power from 40 to 120W, the BHF etch rate decreased; i.e., the number of O-H bonds were reduced. A minimum BHF etch rate was observed at a rf phase difference of 180° between the two rf power sources. A SiO2 film was deposited on a trench-patterned quartz substrate. A flat surface SiO2 layer with air gaps (voids) was formed on the high-aspect ratio (depth/width=1.5-2) trench area.  相似文献   

4.
Inductively coupled plasma reactive ion etching of CoFeB magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of CoFeB thin films and Ti hard mask decreased but the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage and gas pressure on the etch characteristics were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and decreasing gas pressure. The degree of anisotropy in the etch profile of CoFeB films improved with increasing coil rf power and dc-bias voltage. X-ray photoelectron spectroscopy revealed that the chemical compounds containing Co and Fe components were formed during the etching. However, it was expected that the formation of these compounds could not increase the etch rates of the films due to low volatile compounds despite the improvement in etch profile.  相似文献   

5.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

6.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

7.
We investigated the etch characteristics and mechanisms of Ga-doped ZnO (Ga-ZnO) thin films in HBr/X (X = Ar, He, N2, O2) inductively-coupled plasmas. The etch rates of Ga-ZnO thin films were measured as a function of the additive gas fraction in the range of 0-100% for Ar, He, N2, and O2 at a fixed gas pressure (6 mTorr), input power (700 W), bias power (200 W), and total gas flow rate (40 sccm). The plasma chemistry was analyzed using a combination of the global (zero-dimensional) plasma model and Langmuir probe diagnostics. By comparing the behavior of the etch rate and fluxes of plasma active species, we found that the Ga-ZnO etch process was not limited by ion-surface interaction kinetics and appeared in the reaction rate-limited etch regime. In the HBr/O2 plasma, the etch kinetics were probably influenced by oxidation of the etched surface.  相似文献   

8.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

9.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

10.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

11.
Inductively coupled plasma reactive ion etching of CoZrNb magnetic thin films was studied using a TiN hard mask in a Cl2/O2/Ar gas mix. The etch rates of CoZrNb films and TiN hard mask gradually decreased with increasing Cl2 or O2 gas concentrations. When O2 gas was added in the Cl2/Ar gas mix, the etch rate of TiN hard mask was suppressed effectively so that the etch selectivity of CoZrNb film to TiN hard mask was enhanced. The addition of O2 into the gas mix also led to the anisotropic etching of the CoZrNb films and it was confirmed by Auger electron spectroscopy that there were no redeposited materials on the sidewall of the etched films. Highly anisotropic etching of CoZrNb films was achieved at room temperature under the optimized etching conditions.  相似文献   

12.
The investigation of Al2O3 etch characteristics in the BCl3/Ar inductively coupled plasma was carried out in terms of effects of input process parameters (gas pressure, input power, bias power) on etch rate and etch selectivity over poly-Si and photoresist. It was found that, with the changes in gas pressure and input power, the Al2O3 etch rate follows the behavior of ion current density while the process rate is noticeably contributed by the chemical etch pathway. The influence of input power on the etch threshold may be connected with the concurrence of chemical and physical etch pathways in ion-assisted chemical reaction.  相似文献   

13.
In this paper, a wet chemical etching technique to selectively etch tantalum thin film in sodium hydroxide and potassium hydroxide based solutions was developed. Tantalum thin films were deposited by a DC-magnetron sputtering technique on silica and yttria-stabilized zirconia (YSZ) substrates. After deposition, the films were etched in hot NaOH/ H2O2 and KOH/H2O2 based solutions with Au/Cr film as a hard mask. The etch rate was studied as a function of temperature and concentration of the etchants.  相似文献   

14.
S.M. Kang  S.G. Yoon 《Thin solid films》2008,516(7):1405-1409
Silicon nitride thin films were deposited with good adhesion on plasma treated polyethersulphone (PES) and polycarbonate (PC) substrates by in-situ rf magnetron sputtering. The surfaces of the PES and PC substrates were performed by plasma treatment at various rf powers and processing time in Ar, O2 atmosphere. From the X-ray Photoelectron Spectroscopy (XPS) examination of the surface of the treated substrates, it was found that the ratio of oxide containing bonds increased with increasing rf power. The surface roughness of the PES and PC substrates increased with increasing rf power. The plasma treated surface of the substrates became hydrophilic as measured by the water contact angle. The water contact angle for the PES and PC substrates decreased with increasing rf power and processing time, significantly. The lowest value of the contact angle of 14.09° was observed at rf power of 200 W. It was observed that the adhesion properties between the SiNx films and substrates were enhanced by the plasma treatment.  相似文献   

15.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

16.
We have studied the reactive ion etching of Ru electrode using O2/Cl2 plasma. We have revealed that the Ru etch rate and thus the Ru to SiO2 etch selectivity increase by increasing pressure, total gas flow rate, temperature and decreasing the HRF power and LRF power. The vertical Ru etching profile is attained.  相似文献   

17.
Su Ryun Min 《Thin solid films》2008,516(11):3521-3529
The etching characteristics of ZnO thin films were examined in an HBr/Ar gas mix using an inductively coupled plasma reactive ion etching system. The etch rate and etch profile were systematically investigated as a function of gas concentration. In addition, the effects of etch parameters such as coil rf power, dc-bias voltage, and gas pressure were studied. As the HBr concentration increased, the etch rate of the ZnO films gradually decreased while the etch profile was improved. Surface analyses including X-ray photoelectron spectroscopy and atomic force microscopy were employed to elucidate the etch mechanism of ZnO in an HBr/Ar chemistry.  相似文献   

18.
Carbon nitride (CNx) thin films were deposited by radio frequency plasma enhanced chemical vapour deposition (rf PECVD) technique from a gas mixture of methane (CH4), hydrogen (H2) and nitrogen (N2). The effects of rf power on the structural properties of CNx thin films were discussed in this paper. It was found that rf power had significant effects on the growth rate, structural and morphological properties of the deposited films. The point of transition of the growth rate trend marked the equilibrium condition for primary and secondary reactions in growth kinetics of the film with respect to rf power. The films grown at this optimum rf power were most ordered in structure with high surface roughness and had the lowest N incorporation. This work showed that H etching effects and ion bombardment effects increase with increase in rf power and strongly influenced the structure of the CNx films.  相似文献   

19.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

20.
In this study, we carried out an investigation of the etching characteristics (etch rate, selectivity) of HfO2 thin films in the CF4/Ar inductively coupled plasma (ICP). The maximum etch rate of 54.48 nm/min for HfO2 thin films was obtained at CF4/Ar (=20:80%) gas mixing ratio. At the same time, the etch rate was measured as function of the etching parameters such as ICP RF power, DC-bias voltage, and process pressure. The X-ray photoelectron spectroscopy analysis showed an efficient destruction of the oxide bonds by the ion bombardment as well as an accumulation of low volatile reaction products on the etched surface. Based on these data, the chemical reaction was proposed as the main etch mechanism for the CF4-containing plasmas.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号