首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Photoacid generators (PAGs) have been widely used as a key material in the development of novel photoresist materials. One of the important uses of PAGs is found in chemically amplified photoresists (CARs) because of their high photosensitivity and high resolution capability. Triphenylsulfonium salt methacrylate (TPSMA) as the PAG has been bounded in the main polymer backbone. TPSMA was employed for synthesis of terpolymers, poly(MMA-co-tBVPC-co-TPSMA) and poly(tBVPC-co-tBOCPOMI-co-TPSMA) as a positive tone photoresists by free radical polymerization using AIBN. Terpolymers with various ratio of TPSMA, MMA, tBVPC and tBOCOPMI were synthesized and well characterized by FTIR, NMR. Molecular weight distribution was analyzed by GPC. Thermal properties were studied using TGA, DSC which showed thermal stability of terpolymer up to 150 degrees C. We have applied E-beam lithography and KrF lithography in order to demonstrate the effect of the polymer bounded PAG resists. These positive tone resists were successfully applied for fabrication of nano-scale patterns.  相似文献   

2.
We report on the fabrication of hexagonally ordered, sub-wavelength hole arrays (SWHA) by colloidal lithography combined with reactive ion etching and a lift-off process, and their characterization with scanning electron microscopy and ellipsometry.  相似文献   

3.
Though many aspects of contact printing have been explored extensively since its invention, there are still hurdles to overcome for multilayer printing in the nanometer regime. Here we report on an aligned nanocontact printing (nCP) system that has demonstrated a sub-100 nm alignment capability by means of moiré fringes and microspacers. To address issues in the stamp inking, we have devised a microfluidic apparatus based on the gradient capillary force for transport of ink solutions. The nCP system has been tested by printing nucleoside phosphoramidites on a nanopillar arrayed substrate. Although the nCP system was designed primarily for use in the fabrication of high density DNA nanoarrays, it has the potential to be applied to other fields of nanotechnology for nanoscale patterning.  相似文献   

4.
用于100nm节点ArF准分子激光光刻的相移掩模(PSM)技术主要有无铬相移掩模(CPM),交替相移掩模(APSM)、衰减相移掩模(AttPSM)和混合相移掩模技术。对这些掩模的基本原理、制作方法及性能比较进行了分析研究。研究表明,无铬相位光刻(CPL)PSM和高透AttPSM 相结合构成的混合掩模最适合用于193nmArF光刻,以产生100nm节点抗蚀剂图形。  相似文献   

5.
Solutions of polyacrylonitrile (PAN) were electrospun using a range of process parameters, resulting in fibre diameters from 10 to 320?nm. A nonlinear neural network system model was used to analyse the dependence of the fibre diameter on the process parameters, and used to simulate conditions for electrospinning 40-60?nm diameter fibres. These results indicated that flow rate is most important for determining fibre diameter. It was not possible to find the appropriate conditions for electrospinning sub-25?nm fibres. Precise control of the ambient temperature and relative humidity will be critical to producing electrospun fibres that are sub-25?nm. Further, it is unlikely that sub-25?nm fibres will be produced without significant changes in the electrospinning apparatus, for example, by use of focusing and jet-steering fields, alternate carrier gases to modify the discharge characteristics, or patterned electrospinning.  相似文献   

6.
Sub-100 nm hollow carbon nanospheres with thin shells are highly desirable anode materials for energy storage applications. However, their synthesis remains a great challenge with conventional strategies. In this work, we demonstrate that hollow carbon nanospheres of unprecedentedly small sizes (down to ~32.5 nm and with thickness of ~3.9 nm) can be produced on a large scale by a templating process in a unique reverse micelle system. Reverse micelles enable a spatially confined Stöber process that produces uniform silica nanospheres with significantly reduced sizes compared with those from a conventional Stöber process, and a subsequent well-controlled sol–gel coating process with a resorcinol–formaldehyde resin on these silica nanospheres as a precursor of the hollow carbon nanospheres. Owing to the short diffusion length resulting from their hollow structure, as well as their small size and microporosity, these hollow carbon nanospheres show excellent capacity and cycling stability when used as anode materials for lithium/sodium-ion batteries.
  相似文献   

7.
Z Xu  J Jiang  GL Liu 《Applied optics》2012,51(19):4430-4435
A high-density and -uniformity sub-100 nm surface-oxidized silicon nanocone forest structure is created and integrated onto the existing texturization microstructures on a photovoltaic device surface by a one-step high-throughput plasma-enhanced texturization method. We suppressed the broadband optical reflection on chemically textured grade-B silicon solar cells for up to 70.25% through this nanomanufacturing method. The performance of the solar cell is improved with the short-circuit current increased by 7.1%, fill factor increased by 7.0%, and conversion efficiency increased by 14.66%. Our method demonstrates the potential to improve the photovoltaic device performance with low-cost and high-throughput nanomanufacturing technology.  相似文献   

8.
A process consisting of e-beam lithography and lift-off was optimized to fabricate metallic nanostructures. This optimized process successfully produced gold and aluminum nanostructures with features size less than 20 nm. These structures range from simple parallel lines to complex photonic structures. Optical properties of gold split ring resonators (SRRs) were characterized with Raman spectroscopy. Surface-Enhanced Raman Scattering (SERS) on SRRs was observed with 4-mercaptopyridine (4-MPy) as molecular probe and greatly enhanced Raman scattering was observed.  相似文献   

9.
Sub-100?nm resolution on a 200?mm silicon stamp has been hot embossed into commercial Sumitomo NEB 22 resist. A single pattern, exposed with electron beam lithography, has been considered to define the stamp and thus make it possible to point out the impact of stamp design on the printing. These results may be considered as a first attempt to define rules to solve the proximity printing effects (PPEs). Moreover, a large range of initial resist thickness, from 56 to 506?nm, has been spin coated to assess the effect of polymer flow properties for the stamp cavity filling and the printed defects. A?detailed analysis of the printed resist in dense hole patterns showed that the application volume conservation is enough to calculate the residual layer thickness as the height of the printed resist feature. Good accordance has been obtained between the theoretical approach and experimental results. Moreover, the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas.  相似文献   

10.
11.
Microelectronic devices for future applications demand lithographic performance that falls within the 0.10 microm region and below. Chemically amplified resists (CARs), such as the positive tone commercial UVIII resist, offer a substantial gain in sensitivity, resolution, and process efficiency in deep ultraviolet, e-beam, and X-ray lithographies. In this work, the UVIII resist is characterized for X-ray lithographic applications by studying the "deprotection" or acid generation-diffusion process of the resist under different conditions of post-exposure bake (PEB) temperature and time, and of X-ray exposure dose. The X-ray irradiation from a copper anode at a wavelength of 1.33 nm was at an intensity of 30 microW/cm2 on the resist surface. The deprotection process of the resist during PEB was accurately monitored by using Fourier transform infrared (FT-IR) spectroscopy. The infrared absorption peaks at 1151, 1369, and 2977 cm(-1) in the spectrum of the UVIII resist were found to be useful indicators for the completion of deprotection. Results of the experiments showed that the performance of UVIII could be optimized at the PEB temperature of 140 degrees C, a time of 2 min, and X-ray exposure dose of 12 mJ/cm2. The change in resist thickness after PEB was also measured. The results were confirmed by scanning electron microscopy (SEM) in which a test structure as small as 0.12 microm was obtained in a 1-microm-thick UVIII resist layer.  相似文献   

12.
采用荧光分光光度法测试荧光素和罗丹明B作为荧光示踪剂的性能及介绍其在光生酸剂产酸测试中的应用。测试了膜中荧光素和罗丹明B两种示踪剂荧光强度与酸浓度的变化关系,比较了荧光素和罗丹明B在测试光生酸剂产酸中的性能优劣,从而得出了较优秀的荧光示踪剂。  相似文献   

13.
Liu B  Huang Y  Xu G  Ho ST 《Nanotechnology》2008,19(15):155303
A typical method for sub-micrometer compound semiconductor dry etching utilizes polymethylmethacrylate?(PMMA) to transfer patterns to SiO(2) as intermediate masks, which limits its ability to obtain etching resolutions approaching sub-10?nm. We report a new approach for direct sub-10?nm pattern transfer using sol-gel derived spin-coatable ZrO(2) resist as the mask. The optimal dose of ZrO(2) resist is ~160?mC?cm(-2). The sample InP compound semiconductor etching selectivity to ZrO(2) is over 13:1, with high aspect ratio of 35:1. The smallest etching feature is 9?nm. These results will be very useful for realizing various challenging nanoscale photonic and electronic devices and circuits.  相似文献   

14.
15.
Localisation microscopy overcomes the diffraction limit by measuring the position of individual molecules to obtain optical images with a lateral resolution better than 30 nm. Single molecule localisation microscopy was originally demonstrated only in two dimensions but has recently been extended to three dimensions. Here we develop a new approach to three-dimensional (3D) localisation microscopy by engineering of the point-spread function (PSF) of a fluorescence microscope. By introducing a linear phase gradient between the two halves of the objective pupil plane the PSF is split into two lateral lobes whose relative position depends on defocus. Calculations suggested that the phase gradient resulting from the very small tolerances in parallelism of conventional slides made from float glass would be sufficient to generate a two-lobed PSF. We demonstrate that insertion of a suitably chosen microscope slide that occupies half the objective aperture combined with a novel fast fitting algorithm for 3D localisation estimation allows nanoscopic imaging with detail resolution well below 100 nm in all three dimensions (standard deviations of 20, 16, and 42 nm in x, y, and z directions, respectively). The utility of the approach is shown by imaging the complex 3D distribution of microtubules in cardiac muscle cells that were stained with conventional near infrared fluorochromes. The straightforward optical setup, minimal hardware requirements and large axial localisation range make this approach suitable for many nanoscopic imaging applications.   相似文献   

16.
We investigated the data transmission performance of indium antimonide (InSb) nanowires synthesized on (100) type substrates using chemical vapor deposition and having diameters of 20 nm and below using the eye diagram approach of the transmission line. NW interconnect parameters including the bit error rate, quality factor, signal attenuation and maximum bandwidth have been extracted. Nanowires can sustain data rates of up to 10 mega bits per second (Mbps) without any impedance matching and de-embedding of the parasitic parameters coming from the measurement system, and the data rate is directly proportional to nanowire diameter.  相似文献   

17.
Huang Z  Zhang X  Reiche M  Liu L  Lee W  Shimizu T  Senz S  Gösele U 《Nano letters》2008,8(9):3046-3051
Large-area high density silicon nanowire (SiNW) arrays were fabricated by metal-assisted chemical etching of silicon, utilizing anodic aluminum oxide (AAO) as a patterning mask of a thin metallic film on a Si (100) substrate. Both the diameter of the pores in the AAO mask and the thickness of the metal film affected the diameter of SiNWs. The diameter of the SiNWs decreased with an increase of thickness of the metal film. Large-area SiNWs with average diameters of 20 nm down to 8 nm and wire densities as high as 10 (10) wires/cm (2) were accomplished. These SiNWs were single crystalline and vertically aligned to the (100) substrate. It was revealed by transmission electron microscopy that the SiNWs were of high crystalline quality and showed a smooth surface.  相似文献   

18.
We report the creation of 50 nm thick epitaxial Cu lines with line widths ranging from 20 nm to 120 nm on Si(100) substrate using a combination of electron beam lithography, oblique angle deposition, and lift-off techniques. The increase of measured resistivity as a function of decreasing line width is dominated by surface scattering that is completely diffuse. The measured resistivity of the 20 nm wide lines is ~ 4 μΩ-cm.  相似文献   

19.
Electron beam lithography (EBL) and lift-off process have been used to fabricate two- and three-terminal nano-devices consisting of metallic (Cr/Au, Ti/Au or Ti/Pt) arrow-shaped electrodes on Si/SiO2, with tip separation between 100 and less than 10 nm. Standard EBL process allowed us to obtain nanotips with separation around 40 nm. In order to reduce the tip separation down to about 20 nm, before the EBL process, we used the defocused e-beam to brush the PMMA resist for a precise time (10÷40 s). A further reduction of the tip gap (less than 10 nm) is obtained by Au electroplating deposition. The nanotips were electrically characterized by current–voltage (IV) measurements in the range ±2 V. In a typical IV measurement in air of an “open-circuit” nanodevice, no significant current is observed (current range −10÷+10 pA, “open circuit” resistance≈1 TΩ) confirming the success of the technological process.  相似文献   

20.
Tan TL  Wong D  Lee P  Rawat RS  Patran A 《Applied spectroscopy》2004,58(11):1288-1294
Future applications of microelectromechanical systems (MEMS) require lithographic performance of very high aspect ratio. Chemically amplified resists (CARs) such as the negative tone commercial SU-8 provide critical advantages in sensitivity, resolution, and process efficiency in deep ultraviolet, electron-beam, and X-ray lithographies (XRLs), which result in a very high aspect ratio. In this investigation, an SU-8 resist was characterized and optimized for X-ray lithographic applications by studying the cross-linking process of the resist under different conditions of resist thickness and X-ray exposure dose. The exposure dose of soft X-ray (SXR) irradiation at the average weighted wavelength of 1.20 nm from a plasma focus device ranges from 100 to 1600 mJ/cm(2) on the resist surface. Resist thickness varies from 3.5 to 15 mum. The cross-linking process of the resist during post-exposure bake (PEB) was accurately monitored using Fourier transform infrared (FT-IR) spectroscopy. The infrared absorption peaks at 862, 914, 972, and 1128 cm(-1) in the spectrum of the SU-8 resist were found to be useful indicators for the completion of cross-linking in the resist. Results of the experiments showed that the cross-linking of SU-8 was optimized at the exposure dose of 800 mJ/cm(2) for resist thicknesses of 3.5, 9.5, and 15 microm. PEB temperature was set at 95 degrees C and time at 3 min. The resist thickness was measured using interference patterns in the FT-IR spectra of the resist. Test structures with an aspect ratio 3:1 on 10 microm thick SU-8 resist film were obtained using scanning electron microscopy (SEM).  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号