首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 187 毫秒
1.
以软件无线电数字中频接收理论为依据,利用FPGA实现了宽频域无线信号监测的系统设计。本课题主要完成数字中频接收,并将处理后的频谱、信号及监测信息上传至DSP进行解调,利用Simulink实现系统建模仿真;利用Verilog HDL实现各个数据通路模块,包括NCO模块、FIR滤波器模块和FFT模块等;搭建硬件平台,通过FPGA进行系统设计实现。整个系统监测频率范围从500 kHz6 GHz,输出信号的信噪比达到50 dB以上,实现了对无线宽频谱信号的监测,达到了设计要求。  相似文献   

2.
为了实现机载雷达数据记录仪对宽带雷达回波信号的实时存储,提出了一种基于FPGA的宽带中频信号数字下变频结构。讨论了多相滤波正交化和分布式算法的基本原理,给出了宽带中频信号数字下变频的实现方案,重点对结构中正交化和抽取滤波两个模块进行了分析设计。Matlab仿真结果和FPGA仿真结果表明:该宽带中频信号数字下变频结构具有...  相似文献   

3.
采用软件无线电思想,设计和实现了基于FPGA的数字下变频器,应用于数字中频接收机中,主要完成信号的下变频、多速率抽取和滤波等功能。采用自上向下的模块化设计方法,将数字下变频的功能划分为不同的模块,通过VHDL语言和IP核设计各功能模块。通过ISE和Matlab工具对数字下变频器进行了仿真设计,在FPGA硬件平台上进行了测试验证,结果表明:数字下变频器稳定可靠、通用性强、灵活性高,满足数字中频接收机的设计要求。  相似文献   

4.
介绍了无线收发系统的设计过程,该系统以FPGA作为数字中频处理部分,发射机采用FM调制对信号进行处理,接收机采用数字下变频与欠采样技术,将中频信号降采样后解调,得到原信号。系统采用分模块式设计,对电路各个模块的功能和实现加以说明,设计思路灵活,结构清晰。电路在Protel99中设计完成,并用VerilogHDL语言对数字中频进行编程和程序仿真。系统已经做成实体,可以实现信号的无线发射与接收,达到设计提出的要求。  相似文献   

5.
数字下变频与脉冲压缩一直是雷达信号处理中的关键技术之一。应用现场可编程门阵列(FPGA)的IP核技术,研究了一种基于FPGA的数字下变频与脉冲压缩系统的实时实现方法。首先提出了系统的整体结构,然后介绍了数字下变频模块、脉冲压缩模块及接口模块的设计方法。在单片FPGA上实现了对实际采集的中频Chirp信号进行8K点或2K点可变点数的数字下变频与脉冲压缩处理,通过与Matlab软件计算结果的对比,验证了FPGA实时计算的正确性。最后分析了系统的可实现性与实时性。  相似文献   

6.
OFDM系统数字中频的FPGA设计   总被引:1,自引:0,他引:1  
本文主要讨论了OFDM系统中使用数字中频的优点,在发射机和接收机中的实现方案,重点叙述了数字中频中两个关键模块——数控振荡器和成型滤波器在FPGA中的设计,并分析了数字量化误差。  相似文献   

7.
介绍了基于查找表的数控振荡器(NCO)的工作原理和设计步骤,然后在FPGA开发软件SystemGenerator环境中实现了NCO,并给出了仿真结果及其在数字中频模块中的应用。  相似文献   

8.
针对基于光纤无线电(RoF)的分布式天线系统的结构特点,提出了一种利用光纤承载数字中频信号实现天线拉远的方案,并从节约资源与提高性能两方面,给出了在FPGA中实现数字下变频模块的设计方案,分析和仿真结果表明:该设计减少了资源消耗,性能达到了未来宽带无线通信系统的性能要求.  相似文献   

9.
一种宽带抗干扰信号的仿真及其FPGA实现   总被引:1,自引:0,他引:1  
正交码时分多子信道扩潜调制信号是一种新的宽带抗干扰信号,其频谱具有类高斯白噪声特性。文章首先介绍了系统的Systemview仿真模型及仿真结果,在此基础上,基于软件无线电的思想,提出了该信号接收模块的设计方法,并从硬件实现的角度较详细地阐述了该信号数字中频接收模块的原理与FPGA的实现方案。仿真结果证明了该方案的可行性和有效性。  相似文献   

10.
数字下变频的FPGA实现   总被引:4,自引:0,他引:4  
介绍在FPGA器件上如何实现单通道数字下变频(DDC)系统.利用编写VHDL程序和调用部分IP核相结合的方法研究了数字下变频的FPGA实现方法,并且完成了其主要模块的仿真和调试,并进行初步系统级验证.  相似文献   

11.
曾桂根  叶平  郑宝玉  陈伏州 《信号处理》2010,26(9):1306-1311
数字正交解调器是软件无线电(SDR)接收机的重要部件,数字混频正交变换法是实现正交解调器的常用算法。本文针对软件无线电中传统数字混频正交变换法算法,根据理论推导,提出一种适用于多频段中频信号的改进结构的数字混频正交变换法。该改进算法将正交解调与低通滤波两个过程结合在一起实现,并且每输入M个输入采样值做一次输出滤波。通过分析和在可编程器件FPGA上的实验表明,该新结构完全实现了数字混频正交变换法,且能较大地减少所占用的FPGA上的RAM和乘法器资源,在相同的FPGA资源条件下,可以较大地提高中频数字正交解调器的邻道隔离性能,或者大幅度提高所允许的前端模数采样器(ADC)的采样频率。   相似文献   

12.
模块化FPGA设计在某雷达接收机中的应用   总被引:1,自引:0,他引:1  
韩慧奇 《电子技术》2009,36(9):33-35
首先介绍了雷达接收机和数字中频接收机原理,在此基础上针对某连续波测速雷达接收机,提出一种基于模块化FPGA设计方案,并详细讨论了信号处理模块的设计,该方案使得FPGA单元易于分块编写和分块调试,提高了设备的灵活性。  相似文献   

13.
设计了基于软件无线电技术的DRM硬件接收前端,该设计可将RF前端接收到的模拟音频信号经过AD9220转换成数字信号送至FPGA,数字信号在FPGA中经过数字下变频和滤波抽取转化为48 kHz采样速率的中频信号,最后该信号封装成S/PDIF帧送至USB声卡芯片PCM2902E,通过USB接口传送给计算机,计算机装载的DRM接收软件进行DRM数字基带信号的软件解调,验证算法与电路设计的正确性.  相似文献   

14.
在CDMA2000系统中,信道是经过QPSK四相扩频正交调制传输的,数字中频与模拟中频相比能产生严格的幅相平衡正交信号,处理时能保证有严格的线性相位,为此介绍了CDMA2000系统数字中频调制解调实现的方案,对其中抗混叠滤波器,数字频率合成器的设计方法进行了详细的讨论,最后给出了用基于高密度逻辑门电路可编程集成片编辑器(FPGA Compiler)实现的结果。  相似文献   

15.
设计了一种基于软件无线电思想的中频数字化接收机系统,该系统由数据采集模块、数字下变频(DDC)模块和数字解调模块构成。文中重点研究了基于Costas环的BPSK相干解调,并介绍了载波同步及BPSK解调的工作流程图,最后通过Simulink对BPSK解调原理进行了仿真验证。  相似文献   

16.
提出并构建了一个数字电视广告在线发布系统。该系统主要由前端基于C#编程的编辑发布模块,中端基于FPGA的码流信号插入模块和后端数字电视机顶盒接收解析模块构成。介绍了整个系统的构架,并重点介绍了编辑发布模块和在数字电视机顶盒中进行的开发。  相似文献   

17.
通过分析频率合成器ADF4360—4的工作原理、性能特点及其典型应用,提出一种以FPGA芯片和频率合成器ADF4360—4为核心的GPS信号源系统,给出了总体及模块设计方案,并分模块进行了设计与实现。测试结果表明,以FPGA芯片为核心的基带/中频模块完成了GPS信号的BPSK调制和扩频调制,实现了GPS数字中频信号输出;以频率合成器ADF4360—4为核心的射频模块完成了上变频功能,实现了信号的射频调制。  相似文献   

18.
论述了多通道数字收发电路的设计方法与实现方案.详细介绍了以大容量FPGA为核心,基于高速DDS的多通道中频波形产生与基于多相滤波的多通道中频数字接收的工作原理.可实现最高采样频率为250 MS/s的八通道全数字同步接收,最高采样频率500 MS/s的八通道全数字波形同步产生,以及数据率为2.5 Gbit/s的高速数据实时传输.给出了数字接收与数字发射的测试结果,满足系统指标要求,电路实现简单、使用灵活,在数字阵列雷达中具有很好的通用性.  相似文献   

19.
针对侦察系统性能指标的检测,雷达信号模拟器是常用工具,而其以中频信号产生模块为主。采用ADSPBF533与高性能FPGA硬件平台,利用直接数字频率合成技术产生各种雷达中频信号波形数据,生成雷达中频信号,再经过对该中频信号进行变频、放大、滤波,即可形成模拟雷达信号,一个中频信号产生模块包括1块通信控制板和3块中频信号产生模块,并可同时模拟出12部雷达中频信号。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号