首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Metal-insulator-silicon structures containing Hf-doped Ta2O5 dielectric films sputtered on rapid thermally nitrided Si are shown to have very good reliability properties. Stress-induced leakage currents are low, both at low and at high-fields. It is found that charge trapping during the stress is the dominant wear-out mode for very long stress times of 500 s even for injected current densities Js as high as 100 mA/cm2. Stress curves approach saturation at long stress time, indicating that the trap generation rate is very low, even compared to the observed reduced trapping at pre-existing traps.Applying a trapping kinetics model, two trapping sites with characteristic trapping times τ1 = 3.2 s and τ2 = 49 s were determined and attributed to pre-existing defects in the bulk Hf:Ta2O5 layer and not in the interfacial SiOxNy layer. It was found that both τ1 and τ2 do not depend on Js, which may be explained by the presence of a mechanism of charging the active sites through field activated emission of charge from them.  相似文献   

2.
Thin films of silicon dioxide are deposited on ZnO/n-Si substrate at a low temperature using tetra-ethylorthosilicate (TEOS). The ZnO/n-Si films have been characterized by atomic force microscopy (AFM) and scanning electron microscopy (SEM). The border trap density (Qbt) and fixed oxide charge density (Qf/q) of the SiO2/ZnO/n-Si films are found to be 3.9 × 1010 cm−2 and 1.048 × 1011 cm−2, respectively. The trapping characteristics and stress induced leakage current (SILC) have also been studied under Fowler-Nordheim (F-N) constant current stressing.  相似文献   

3.
This paper deals with the electrical and stress induced degradation of reactively sputtered ZrO2/Si interface deposited in N2 containing plasma and pure argon ambient. MOS C–V and I–V techniques were used for interface characterization. Leakage current and flat band shifts were compared for ZrO2 films deposited with and without N2 containing plasma. The effect of current stress and post deposition annealing carried out on the samples deposited in different ambient was investigated. The annealed devices showed better electrical and reliability characteristics. The flat band voltage shifts towards negative value in annealed devices on being stressed, indicating positive charge trapping in the high-k dielectric layer. The flat band voltage saturates faster when stressed with higher current density. The oxide charge density increases from 4.5 × 1012 cm?2 for as deposited samples to 5.6 × 1012 cm?2 on application of stress. The samples grown in pure argon ambient showed enhanced leakage when compared with samples grown in nitrogen ambient on application of stress .  相似文献   

4.
Silicon nitride (SiNx) films were prepared by dual ion beam deposition at room temperature. An assisted N2+ ion beam (current Ib=0-45 mA) was directed to bombard the substrate surface to control the N content x, which saturated at x≈1.36 when Ib?25 mA. The presence of SiN bonds was indicated by the appearance of a Si 2p photoelectron peak at 101.9 eV and an infrared absorption peak at 850 cm−1. As x increases from 0 to 1.36, the hardness, elastic modulus and compressive stress increase from 12.2 to 21.5 GPa, 191 to 256 GPa and 0.52 to 1.4 GPa and the friction coefficient against stainless steel ball decreases from 0.65 to 0.37. The optical band gap increases remarkably with a concomitant drop in electrical conductivity (σRT) by more than 107 times. Ion bombardment induces defects and trap states in the mid-gap, such that the transport mechanism is dominated by hopping of charge carriers through the trap states. Consequently, the activation energy of electrical conductivity is much lower than the optical band gap.  相似文献   

5.
The electrical properties of alumina films formed at substrate temperatures as low as 27 °C using tri-methyl aluminum (TMA) and molecular oxygen (O2) by catalytic chemical vapor deposition (Cat-CVD) have been investigated by capacitance-voltage (C-V), current-voltage (I-V) measurements and X-ray photoelectron spectroscopy (XPS). Substrate temperature dependence of dielectric constant and leakage current of the films has been explained on the basis of deficiency in oxygen. Interface trapping density of the order of 109 ev− 1cm− 2 has been obtained. Angle resolved XPS measurements have revealed that the direct bonding of alumina and Si was realized with very small interface trapping density.  相似文献   

6.
Hydrogenated silicon nitride (a-SiNx:H) films were deposited at temperatures ranging from 50 to 300 °C with remote plasma enhanced chemical vapor deposition (RPECVD) from NH}_{3 and SiH}_{4. The effect of the operating variables, such as deposition temperature and especially the partial pressure ratio of reactant (R=NH3/SiH4) on the properties of the Sa-SiNx:H interface was investigated. The H* radical was dominantly observed and the deposition rate was proportional to the NH* radical concentration. The density of highly energetic N 2 * radicals increased in the high plasma power regime in which the film surface was roughened, but they promote surface reactions even at low temperature. The refractive index was more closely related to the film stoichiometry than film density. The interface trap density is related to the amount of silicon intermediate species and Si–NH bonds at the Si/SiNx:H interface and it can be minimized by reducing the intermediate Si species and Si–NH bonding state. The films showed a midgap interface trap density of 2 × 1011 - 2 × 1012cm-2. © 2001 Kluwer Academic Publishers  相似文献   

7.
The concept of Double Peak (DP) electric field distribution is considered for the analysis of operational characteristics of irradiated silicon detectors. The key point of the model is trapping of equilibrium carriers to the midgap energy levels of radiation-induced defects, which leads to a non-uniform distribution of space charge concentration with positively and negatively charged regions adjacent to the p+ and n+ contacts, respectively. In our new development of the DP model we consider a non-depleted base region in between the space charge regions as a high resistivity bulk, which operates as a drift region with a non-negligible electric field. Electric field characteristics of detectors processed from n-type MCZ Si wafers using various technological procedures, and irradiated by 1 MeV neutrons and 24 GeV/c protons, have been compared. Electric field profiles have been reconstructed from DP pulse response of heavily irradiated detectors and calculated by the simulation of DP electric field distribution caused by carrier trapping. It is shown that detectors from n-type MCZ Si irradiated by 24 GeV/c protons do not show typical space charge sign inversion up to the irradiation fluence of about 2.2×1015 p/cm2 and the region with a positive charge dominates over a negatively charged region.  相似文献   

8.
The boron(B)- and phosphorous(P)-doped microcrystalline silicon (Si) thin films were prepared by magnetron sputtering of heavily B- and P-doped Si targets followed by rapid thermal annealing (RTA), their electrical properties were characterized by temperature-dependent Hall and resistivity measurements. It was observed that the dark conductivity and carrier concentration of the 260 nm B-doped Si films annealed at 1,100 °C in Ar were 3.4 S cm?1 and 1.6 × 1019 cm?3, respectively, which were about one order of magnitude higher than that of P-doped Si films. The activation energy of the B- and P-doped Si films were determined to be 0.23 eV and 0.79 eV, respectively. The dark conductivity of B- and P-doped Si films increased with the increase of film thickness, RTA temperature, and the incorporation of H2 in Ar during RTA. The present work provides an easy and non-toxic method for the preparation of doped microcrystalline Si thin films.  相似文献   

9.
《Thin solid films》1986,141(2):193-199
Transient photoconductivity measurements were carried out on microcrystalline silicon films deposited by r.f. glow discharge from a mixture of SiCl4 and H2. Experimental data were interpreted using a two-level recombination model. The electron recombination lifetime is a few microseconds. The photoconduction is mainly governed by a shallow trapping centre of density N≈1018 cm-3 located at about 0.06 eV with a small capture cross-section.  相似文献   

10.
L. Liljeholm  J. Olsson 《Vacuum》2011,86(4):466-470
Wurtzite aluminum nitride (w-AlN) thin films are of great interest for electro-acoustic applications and their material properties have in recent years been extensively studied. One way to tailor material properties is to vary the composition by adding other elements. Boron is an element that can take the place of aluminum in the crystal lattice of w-AlN. In the present study, polycrystalline w-(Al,B)N thin films were grown on p-Si(100) and Al/p-Si(100) substrates by pulsed DC reactive magnetron sputtering from a single Al/B target. MIS and MIM structures were fabricated to investigate the electrical properties of w-(Al,B)N thin films. Important dielectric thin film properties for microelectronics applications are the breakdown field, the permittivity (κ) and leakage current through the film. The (Al,B)N thin film is found to have a dielectric strength of ~3 × 106 V cm?1 and a κ close to 12. The measured leakage current through the film is assumed to be mainly due to Frenkel–Poole emission with a trap energy at 0.71 eV below the conduction band edge.  相似文献   

11.
《Vacuum》2012,86(4):466-470
Wurtzite aluminum nitride (w-AlN) thin films are of great interest for electro-acoustic applications and their material properties have in recent years been extensively studied. One way to tailor material properties is to vary the composition by adding other elements. Boron is an element that can take the place of aluminum in the crystal lattice of w-AlN. In the present study, polycrystalline w-(Al,B)N thin films were grown on p-Si(100) and Al/p-Si(100) substrates by pulsed DC reactive magnetron sputtering from a single Al/B target. MIS and MIM structures were fabricated to investigate the electrical properties of w-(Al,B)N thin films. Important dielectric thin film properties for microelectronics applications are the breakdown field, the permittivity (κ) and leakage current through the film. The (Al,B)N thin film is found to have a dielectric strength of ∼3 × 106 V cm−1 and a κ close to 12. The measured leakage current through the film is assumed to be mainly due to Frenkel–Poole emission with a trap energy at 0.71 eV below the conduction band edge.  相似文献   

12.
A thin-film structure comprising Al2O3/Al-rich Al2O3/SiO2 was fabricated on Si substrate. We used radio-frequency magnetron co-sputtering with Al metal plates set on an Al2O3 target to fabricate the Al-rich Al2O3 thin film, which is used as a charge storage layer for nonvolatile Al2O3 memory. We investigated the charge trapping characteristics of the film. When the applied voltage between the gate and the substrate is increased, the hysteresis window of capacitance-voltage (C-V) characteristics becomes larger, which is caused by the charge trapping in the film. For a fabricated Al-O capacitor structure, we clarified experimentally that the maximum capacitance in the C-V hysteresis agrees well with the series capacitance of insulators and that the minimum capacitance agrees well with the series capacitance of the semiconductor depletion layer and stacked insulator. When the Al content in the Al-rich Al2O3 is increased, a large charge trap density is obtained. When the Al content in the Al-O is changed from 40 to 58%, the charge trap density increases from 0 to 18 × 1018 cm− 3, which is 2.6 times larger than that of the trap memory using SiN as the charge storage layer. The device structure would be promising for low-cost nonvolatile memory.  相似文献   

13.
Thin films of Cd0.8Zn0.2Te/Si structures were prepared by vacuum evaporation technique. The electrical properties such as activation energy, barrier height, and transport mechanism along with the capacitance-voltage characteristics are analyzed. The zero field activation energy calculated from the saturation current density with the inverse absolute temperature is found to be 0.37 eV and the barrier height is 0.54 eV. As the applied bias voltage increases the activation energy decreases from 0.3 to 0.22 eV for the bias range of 0-2 V. From the observed current voltage characteristics it is found that the surface state density is high for the films deposited at room temperature. From the high-frequency (1 MHz) C-V measurement the built in voltage is found to be 0.15 V. The plot of 1/C2 vs the applied bias voltage behaviour is linear, indicating the presence of abrupt junction. The acceptor concentration as obtained from the 1/C2 vs bias voltage is 1.4×1016 cm−3.  相似文献   

14.
The rectifying junction characteristics of the organic compound pyronine-B film on a p-type Si substrate have been studied. The pyronine-B has been sublimed onto the top of p-Si surface. The barrier height and ideality factor values of 0.79 eV and 1.125 for this structure have been obtained from the forward-bias current–voltage characteristics. The density distribution of the interface states in the inorganic semiconductor bandgap and their relaxation time have been determined from the low-capacitance–frequency characteristics by the Schottky capacitance spectroscopy method. The measurement frequency varies from 90 Hz to 10 MHz. The interface state density Nss ranges from 2.10×1010 cm–2 eV–1 in (0.79–Ev) eV to 1.16×1012 cm–2 eV–1 in (0.53–Ev) eV. Furthermore, the relaxation time ranges from 1.38×10–3 s in (0.53–FV) eV to 7.50×10–3 s in (0.79–EV) eV.  相似文献   

15.
M. Zaman 《Thin solid films》2009,517(18):5431-5916
The plasma polymerized tetraethylorthosilicate (PPTEOS) thin films were deposited on to glass substrates at room temperature by a parallel plate capacitively coupled glow discharge reactor. The current density-voltage (J-V) characteristics of PPTEOS thin films of different thicknesses have been observed at different temperatures in the voltage region from 0.2 to 15 V. In the J-V curves two slopes were observed — one in the lower voltage region and another in the higher voltage region. The voltage dependence of current density at the higher voltage region indicates that the mechanism of conduction in PPTEOS thin films is space charge limited conduction. The carrier mobility, the free carrier density and the total trap density have been calculated out to be about 2.80 × 10− 15m2V− 1s− 1, 1.50 × 1022m− 3 and 4.16 × 1033m− 3 respectively from the observed data. The activation energies are estimated to be about 0.13 ± 0.05 and 0.46 ± 0.07 eV in the lower and higher temperature regions respectively for an applied voltage of 2 V and 0.09 ± 0.03 and 0.43 ± 0.10 eV in the lower and higher temperature regions respectively for an applied voltage of 14 V. The conduction in PPTEOS may be dominated by hopping of carriers between the localized states at the low temperature and thermally excited carriers from energy levels within the band gap in the vicinity of high temperature.  相似文献   

16.
GaSe thin films were deposited onto the glass substrates kept at 200° and 300°C by the thermal evaporation of GaSe crystals under the pressure of 10–5 Torr. X-ray analysis of the films revealed that films grown at 200°C are amorphous in nature while the films grown at 300°C are polycrystalline -GaSe. The temperature dependent electrical conductivity measurements in the region of 320–100 K for the films grown at 300°C showed that the transport mechanisms are the thermionic emission of charged carriers and the variable range hopping above and below 180 K, respectively. Space charge limited current (SCLC) studies have also been performed on these films through the current-voltage measurements at different temperatures and a dominant hole trap at 0.233 eV from the top of the valance band with a trap density of 1.6 × 1011 cm–3 is identified.  相似文献   

17.
The ability to optimizate the preparation of Lead Zirconate Titanate (PZT) films on platinized Si substrate by pulsed laser deposition was demonstrated. The effect of the modification of the interface film/electrode through the use of a (La,Sr)CoO3 (LSCO) seed layer on the remnant polarization, fatigue endurance and stress in PZT films was studied. An improvement on the ferroelectric properties was found with the using of the LSCO layer. A remnant polarization (Pr) of 19.8 μC/cm2 and 4.4 μC/cm2 for films with and without the LSCO layer were found. In the same way the polarization fatigue decreases significantly after deposition of the LSCO layer between the film and substrate. Atomic force microscopy (AFM) images revealed a different growth process in the films. Current–voltage (IV) measurements showed that the use of LSCO seed layer improves the leakage current and, on the other hand the conduction mechanisms in the film without LSCO, after the fatigue test, was found to be changed from Schottky to Poole–Frenkel. The trap activation energy (about 0.14 eV) determined from Poole–Frenkel mode agrees well with the energy level of oxygen vacancies. The films stresses were estimated by XRD in order to explain the improvement on the structure and consequentially ferroelectric properties of the films. The model proposed by Dawber and Scott was found to be in agreement with our experimental data, which seems to predict that the oxygen vacancies play an important role on fatigue.  相似文献   

18.
In this article, a methyl-doped silicon oxide low k film for use in inter-level dielectric application has been characterized. The structural and electrical properties of films prepared by chemical vapor deposition before and after different etching and photo-resist stripping (PRS) plasma treatments were studied. Structural properties of the low k film with various extents of forming gas and O2 plasma treatments were reflected by the contents of Si-CH3 and Si-H bonds. Surface roughness of films with plasma treatments was closely linked to the ratios of the cage- and network-structures of Si-O. Electrical properties of plasma-treated films were dependent on the applications of both etching and PRS plasma chemistries. Forming gas PRS caused the least low k film structural change and electrical deterioration compared with O2 treatment. Moreover, Ebd of films decreased significantly by CH2F2 versus C4F8 etch. The best electrical properties of the film was obtained with a leakage current density of < 1 × 10− 8 A/cm2 and a dielectric breakdown strength of ∼3.2 MV/cm after being subjected with C4F8 / N2 / Ar trench etch and forming gas PRS treatment.  相似文献   

19.
Cui Min  Zhang Weijia  Li Guohua 《Vacuum》2006,81(1):126-128
Intrinsic nanocrystalline silicon films (nc-Si:H) were prepared by plasma enhanced chemical vapor deposition (PECVD) method. Films’ microstructures and characteristics were studied with Raman spectroscopy and Atom Force Microscope (AFM). The electronic conductivity of nc-Si:H films was found to be 4.9×100Ω−1 cm−1, which was one order of magnitude higher thanthe reported 10−3-10−1 Ω−1 cm−1. And PIN solar cells with nc-Si:H film as intrinsic thin-layer (ITO/n+-nc-Si:H/i-nc-Si:H/p-c-Si/Ag) were researched. The cell's performances were measured, the open-circuit voltage Voc was 534.7 mV, short-circuit current Isc was 49.24 mA (3 cm2) and fill factor FF was 0.4228.  相似文献   

20.
Zinc oxide (ZnO) was incorporated into metal-insulator-semiconductor (MIS) structures featuring high dielectric constant (high-κ) barium tantalate (BaTa2O6)or alumina (Al2O3)as the insulator, and the structures were electrically evaluated for potential applications in transparent thin film transistors. The ZnO films were deposited by radio-frequency magnetron sputtering at 100 °C whereas the dielectric films were deposited by the same method at room temperature. The leakage currents of both the BaTa2O6 and Al2O3 structures were on the order of 10−7A/cm2. The trap density and trapped charge concentration at the BaTa2O6/ZnO interface were determined to be 6.18 × 1011 eV−1 cm−2and 5.82 × 1011 cm−2 from conductance-voltage and capacitance-voltage measurements. At the Al2O3/ZnO interface the trap density and trapped charge were more than an order of magnitude smaller at 1.09 × 1010 eV−1 cm−2and 1.04 × 1010 cm−2 respectively. The BaTa2O6 structures had significantly larger frequency dispersions due to the larger number of interface traps. Chemical analysis using X-ray photoelectron spectroscopy with depth profiling indicates that acceptor type defects associated with a deficiency of oxygen are related to the observed electron trapping in the BaTa2O6MIS structure. Overall, the results indicate that Al2O3 would be better suited for transparent thin film transistors deposited at low temperature or without substrate heating.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号