首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
《Thin solid films》2006,515(2):434-438
In this work we present a study of surface roughness development at the molybdenum-on-silicon and silicon-on-molybdenum interfaces in Mo/Si multilayers as employed in Extreme UV lithography. Thin Mo/Si multilayers, with layer thicknesses of 3–5 nm, were deposited using electron beam evaporation. The effect of ion treatment on the surface roughness was studied by X-ray reflectometry and transmission electron microscopy. Without ion treatment we observed build up of correlated roughness. The roughness development is shown here to depend strongly on the thickness of the crystalline Mo layer. Independent of the Mo ratio in a period, we show that a minimal amount of ion treatment is required to smoothen the multilayer roughness, which is also confirmed by EUV reflectivity measurements. At high ion energies the layers become smoother due to a larger ion penetration depth. The higher penetration depth is also shown to initiate additional interdiffusion and structural changes at buried interfaces.  相似文献   

2.
Processes of diffusion and silicide formation in stressed multilayers of Mo/Si, as a result of their isothermal annealing, were studied in this paper by methods of cross-sectional transmission electron microscopy and X-ray diffraction techniques. It was found that reaction with growth of molybdenum disilicide of reduced density takes place at Mo-on-MoSi2 interfaces up to formation of ∼ 7 nm thick layers, due to annealing treatment within 350-400 °C temperature range. Silicon atoms were found to be the dominating diffusive components. As a result of Si atoms' diffusion from Si layer, sublayers of a somewhat lower density are being formed at MoSi2-on-Si interfaces. Growth of molybdenum disilicide is accompanied by reduction of multilayer period. Activation energy of diffusion process (phase formation) makes up ∼ 2.2 eV. Influence of compressive stresses (that exist in Mo layers) on process of phase formation, both in as-deposited and in annealed samples, is discussed in this paper.  相似文献   

3.
Mo-Si multilayer structures were grown by ion-beam and magnetron sputtering to make high-performance mask blanks for practical use in extreme-ultraviolet (EUV) lithography. For ion-beam sputtering, the effect of using Ar or Xe as the sputtering gas, and the impact of the acceleration voltage of Ar or Xe ions on the EUV reflectivity of multilayers were evaluated. In the wavelength range of 12.5-14.5 nm, the peak EUV reflectivity was 60-63% for 40 Mo-Si bilayers grown by ion-beam sputtering, and 62-65% for those grown by magnetron sputtering. Transmission electron microscopy images of Mo-Si multilayers revealed interface layers between the Mo and Si layers. They were found to be composed of a mixture of Mo and Si and to be formed during sputtering. They had a thickness of 1.5-2.0 nm for the deposition sequence Mo-on-Si, and 0.5-1.0 nm for Si-on-Mo. In addition, they were 20-30% thicker for ion-beam sputtering than for magnetron sputtering. Calculations of the EUV reflectivity spectrum for 40 Mo-Si bilayers indicate that interface layer thickness plays a crucial role in determining the EUV performance of multilayers. Finally, an ion-implantation model was found to provide a better explanation of the mechanism of interface layer formation than a thermal-interdiffusion model.  相似文献   

4.
EUV lithography is the most promising technique for the fabrication of semiconductor structures below 50 nm. This requires the use of reflecting multilayers as optical elements. These multilayers must have reflectances as high as possible since it determines the efficiency of the technique and therefore the throughput of a future chip fab. In this work we present investigations on the interface quality of Mo/Si multilayers which are prepared by magnetron sputter deposition. Starting from the two‐component Mo/Si system, that has mainly been optimized with respect to interface roughness, we show that interface interdiffusion can also be reduced by the introduction of tiny barrier layers. In pure Mo/Si multilayers particularly a low Ar sputter gas pressure is important to get smooth layers, whereas the interdiffusion can be reduced by the deposition of C and B4C barrier layers on the individual interfaces. As result of our work, we have prepared Mo/Si multilayers with outstanding high reflectances: REUV = 70.1 % (λ = 13.3 nm, α = 1.5°), REUV = 71.4 % (λ = 12.5 nm, α = 22.5°).  相似文献   

5.
《Thin solid films》1992,220(1-2):191-196
Multilayer structures for application in microelectronics are becoming increasingly complex. A sputter deposited multilayer structure composed of chromium, nickel and silicon layers with a total thickness of 310 nm on a smooth silicon substrate was characterized by transmission electron microscopy (TEM) and by Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS) depth profiling. AES depth profiles of the Ni/Cr/Si multilayers were obtained with Ar+ ion bombardment at various angles of incidence using stationary and rotated samples. In some cases a strong influence of semiconductor structure on the experimentally obtained metal-metal and metal-semiconductor interface widths was observed. Owing to ion beam induced Si(LVV) Auger electrons in the crater wall of the Ni/Cr/Si sample, a distortional influence on depth resolution during simultaneous AES analysis and ion sputtering was found. Silicide formation during sputtering at the silicon-metal interfaces was confirmed by XPS. The measured compositional depth profiles are explained with respect to the influence of polycrystalline metallic and amorphous semiconductor structures; the effects of ion beam induced topography, atomic mixing and silicide formation are discussed.  相似文献   

6.
Nano-structural evolution of layer morphology and interfacial roughness in Cr/Sc metal multilayers grown with ion assistance during magnetron sputter deposition has been investigated by high resolution transmission electron microscopy and hard X-ray reflectivity. Calculations based on a binary collision model predict an ion-assisted growth window for optimized Cr/Sc multilayer interface sharpness, within the ion energy range of 21 eV to 37 eV and an ion flux of ∼ 10 ions per deposited atom. Multilayers with nominal modulation periods in the range of 1.6 nm to 10.2 nm, grown with these conditions, exhibit a well-defined layer structure with an improved flattening and abruptness of the interfaces. It is shown that multilayers with a modulation period smaller than 3.4 nm have clear benefit from the reduced intermixing obtained by utilizing a two-stage ion energy modulation for each individual layer. The amorphization of Sc and Cr layers, below certain thicknesses, is found to be independent of the low energy ion-assistance. It is also shown that the Cr/Sc multilayers, containing periods less than ∼ 2 nm are ‘self healing’ i.e. they re-gain abrupt interfaces and flat layers after morphological disturbances during ion assisted growth. In comparison, multilayers grown without ion-assistance exhibited severe roughness and layer distortions.  相似文献   

7.
The extreme-ultraviolet (EUV)-induced oxidation of Mo/Si multilayer mirrors was characterized by several methods: EUV reflectivity, x-ray photoelectron spectroscopy, small-angle x-ray reflectometry, atomic force microscopy, and EUV scattering measurements. Based on the results of the different investigation techniques, an oxidation model was developed to explain the degradation of the mirrors under EUV radiation.  相似文献   

8.
Vasant G. Sathe  D.M. Phase 《Vacuum》2005,77(3):301-306
The effect of elevated temperature on the structural stability and alloy formation in Mo-Zr multilayers is investigated. Mo-Zr multilayers deposited by the electron beam evaporation technique under ultra-high vacuum conditions are annealed up to 650 °C. The changes induced due to thermal treatment are observed using X-ray reflectivity (both specular and off-specular) and X-ray diffraction techniques. The Mo-Zr multilayers remained as an insoluble layered structure even after annealing as revealed from X-ray reflectivity measurements. The interfacial roughness is found to be very similar at all interfaces and decreases on annealing. The multilayer structure remains intact on annealing with expansion of the multilayer period and a marginal increase in X-ray reflectivity.  相似文献   

9.
《Vacuum》2008,82(11-12):1466-1471
Multilayer systems at nanometre scale have been applied as spectroscopic elements for wavelengths >1 nm and optical reflection systems in the short-wavelength region (<100 nm). Main requirements are a high optical contrast for the multilayer components in the wavelength region of application and a density profile with sharp interfaces between the components. Two sources are responsible for interface roughness, the development of surface roughness during deposition of the multilayer components and intermixing of these components at the interfaces. Etching a freshly deposited layer by low-energy ions has demonstrated to be successful in reducing the surface roughness of W on C, Ni on C and Si on Mo. Implantation of low-energy N+ ions into Si has been applied to reduce the chemical reactivity at the interface with Ni. Formation of a carbide interlayer by implantation of Si with C+ ions successfully prevented intermixing of the Mo on Si interfaces, improving the thermal stability of Mo/Si multilayers. Finally, a combination of implantation and annealing was applied to form Si/SiC multilayers.  相似文献   

10.
Multilayer coating results are discussed for the primary and secondary mirrors of the micro-exposure tool (MET): a 0.30 NA lithographic imaging system with a 200 microm x 600 microm field of view at the wafer plane, operating in the extreme ultraviolet (EUV) region at an illumination wavelength around 13.4 nm. Mo/Si multilayers were deposited by DC-magnetron sputtering on large-area, curved MET camera substrates. A velocity modulation technique was implemented to consistently achieve multilayer thickness profiles with added figure errors below 0.1 nm rms demonstrating sub-diffraction-limited performance, as defined by the classical diffraction limit of Rayleigh (0.25 waves peak to valley) or Marechal (0.07 waves rms). This work is an experimental demonstration of sub-diffraction- limited multilayer coatings for high-NA EUV imaging systems, which resulted in the highest resolution microfield EUV images to date.  相似文献   

11.
Mo/Si multilayer samples with different Mo layer thickness were deposited by electron beam evaporation, while Kr+ ions (300 eV) were used for polishing the Si layers. Crystallization as a function of the Mo layer thickness deposited was investigated by grazing incidence X-ray diffraction, giving information on the crystalline phases, average size and crystallite formation. Comparison of these parameters for the samples examined provided novel results, especially regarding the in-plane and in-depth average sizes of the crystallites. The most important result is that crystallization takes place already when a 1 nm thick Mo layer has been deposited. Moreover, the average in-plane size of the crystallites was found to be independent of the layer thickness, while the average in-depth size corresponded to the thickness of the Mo layer. Depositions consist of polished Si layers were found to give a larger amount of crystalline material compared to those consist of unpolished Si layers.  相似文献   

12.
Ion beam sputtering fabrications of Mo/Si multilayers for soft X-ray mirrors were studied using an automatic null ellipsometer. The ellipsometric growth curves plotted on the complex plane showed island structure formation for every Mo layer grown on Si when deposition was performed with a 1400 V Ar ion beam. The ellipsometric growth curves indicated that the multilayers fabricated with 900 V ions had sharper and smoother interfaces compared to those fabricated with 1400 V ions. Quantitative layer-by-layer analysis showed that the Si layers deposited at 1400 V became optically isotropic as thin as 1 nm. These data depict the usefulness of our in-situ ellipsometer in controlling layer thickness and also optimizing the deposition condition to form homogeneous and optically isotropic layer structures.  相似文献   

13.
A previous layer-by-layer multilayer design method [J. Opt. Soc. Am. A 19, 385 (2002)] is completed by adding the possibility of alternating layers with fixed thicknesses along with layers whose thicknesses are optimized for the largest possible reflectance at a desired wavelength. The previous algorithm did not allow for layers with fixed thicknesses. The current formalism is particularly suited for a multilayer design in which barrier layers of given thicknesses are used to prevent diffusion and/or reaction between the multilayer constituents. The design method is also useful both when intermixing zones develop at multilayer interfaces and when capping layers are used. The algorithm allows the design of multilayers with complex barrier layers with any number of layers of any optical constants. The optimization can be performed either for normal incidence or for nonnormal incidence with either s- or p-polarized radiation. The completed method provides a fast and accurate procedure for multilayer optimization regardless of the number of different materials used in the multilayer. The optimum layer thickness is determined by means of functions suitable for implementation in a computer code. The performance of the current algorithm is exemplified through the design of Si/Mo multilayers with intermixing layers or with barrier layers that are optimized for the largest reflectance at 13.4 nm. The use of specific barrier layers on each multilayer interface is also discussed.  相似文献   

14.
We investigate the intermixing of layers in Sc/Si and Sc/B4C/Si/B4C multilayers using electron and synchrotron excited soft X-ray emission and absorption spectroscopy. The multilayers are annealed at 100, 200, 300, 400 and 500 °C after preparation by magnetron sputtering. Silicon Kβ emission and reflectivity measurements verify that the non-annealed multilayer systems are composed of distinct layers with only a minor interdiffusion in Sc/Si samples whereas annealing Sc/Si multilayers at 400 °C leads to a degradation of the multilayer structure and the formation of intermittent scandium silicide, ScSi. The presence of B4C barriers in Sc/B4C/Si/B4C hinders this degradation from developing for the entire temperature range considered. The barrier layers continue to be effective for the entire temperature range even after an extended shelf-life.  相似文献   

15.
Si nanocrystals have been prepared by hydrogenation and subsequent annealing of as-deposited amorphous Si layers on glass and Si substrates. The hydrogenation process has been performed at 350 °C under radio frequency hydrogen plasma. The nanocrystallites were processed by sequential reactive ion etching to allow light emission. Photoluminescence (PL) measurements demonstrate that the nanocrystallites emit light in the range of 500-570 nm. The evolution of nanocrystals has been studied using scanning electron microscopy, while atomic force microscopy and transmission electron microscopy have been utilized to examine the structure of the Si nanocrystals. Multilayer luminescent Si nanocrystals have been fabricated using alternating layers of Si nanocrystals and Si oxy-nitride. Bilayer structures have higher efficiency than a single layer structure, while multilayers with three layers of luminescent nanocrystals and above did not show a higher PL intensity. Transparent light emitting diodes have been realized based on multilayer luminescent Si nanocrystals that displayed bright emission which was visible to the naked eye in a bright room.  相似文献   

16.
We compare the reflectance and stability of multilayers comprising either Si/Mo, Si/Mo2C, Si/B4C, Si/C, or Si/SiC bilayers, designed for use as extreme-ultraviolet (EUV) reflective coatings. The films were deposited by using magnetron sputtering and characterized by both x-ray and EUV reflectometry. We find that the new Si/SiC multilayer offers the greatest spectral selectivity at the longer wavelengths, as well as the greatest thermal stability. We also describe the optimization of multilayers designed for the Solar-B EIS instrument. Finally, we compare experimental reflectance data with calculations and conclude that currently available optical constants cannot be used to adequately model the performance of many of these multilayers.  相似文献   

17.
Thin Bi layers were deposited by simple immersion of silicon chip into diluted HF aqueous solution, containing bismuth(III) ions. Bi nanoparticles or continuous up to 300 nm thick Bi film can be grown on silicon by the variation of the temperature and deposition time. Prepared surfaces have been characterized by atomic force microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Raman scattering, photoluminescence and resistivity measurement methods. It was found that thinner Bi layers have a yellowish colour.  相似文献   

18.
Mo/Si multilayer mirrors with a high reflectance at normal incidence in the 130-135-? spectral region have been deposited by rf magnetron sputtering. A new and quick technique was used to calibrate the deposition rates. Characterization by transmission electron microscopy (TEM) and grazing-incidence x-ray diffraction (XRD) indicated good thickness control of the deposition process and low interface roughness. However, the TEM and, indirectly, the XRD reflectance measurements, indicated that the interfaces are asymmetric. A brief review discussing the origin of the modulation of the Bragg peak intensities in the XRD reflectance is given. An analytical formula was derived for periodic multilayers that describes the effect of asymmetric interfaces on the amplitude of the Bragg peak modulation. Theoretically, in XRD reflectance measurements, any asymmetry in the interdiffusion of the Mo-Si interfaces results in a decrease of the usual amplitude modulation of the Bragg peaks. Extreme-ultraviolet (XUV) reflectance measurements were also made with synchrotron radiation on a new high-resolution reflectometer. The near-normal-incidence peak reflectances measured at λ = 134 ? were ~ 59% for the best multilayer mirrors. Good fits to both XRD and XUV reflectance measurements have been obtained with a model that allows for interface asymmetry.  相似文献   

19.
TiN/CNx multilayer films with bilayer periods of 4.5-40.3 nm were deposited by direct-current magnetron sputtering. Layer morphology and structure of the multilayered films were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy and transmission electron microscopy. The TiN/CNx multilayers exhibited coherent epitaxial growth due to the mutual growth-promoting effect at small bilayer period and some crystalline regions going through the interface of TiN/CNx. Nanoindentation tests showed that the hardness of the multilayers varied from 12.5 to 31 GPa, with the highest hardness being obtained with a bilayer period of 4.5 nm. The tribological properties of the films were investigated using a ball-on-disk tribometer in humid air, and the TiN/CNx multilayer with a bilayer period of 4.5 nm also exhibited the lowest friction coefficient and the highest wear resistance.  相似文献   

20.
W/Ni multilayer structures (MLS) composed of 5 and 10 bilayers, with composition W(15 Å)/Ni(55 Å), have been deposited on float glass substrate using ion-beam sputtering. X-ray reflectivity and wide-angle X-ray diffraction techniques have been used to study their interface characteristics, such as layer thickness, interface roughness and change in structural parameters. The fabricated MLS were found to be oriented along (111) of Ni having superlattice modulation perpendicular to the film plane. Thermal annealing studies on these multilayers showed that these were stable up to 500 °C. Cross-sectional transmission electron microscopy and selected area electron diffraction studies on as-deposited W/Ni MLS of 10 bilayers revealed well formed interfaces without any correlated roughness. The thicknesses of different layers were found to vary along the film thickness.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号