首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 718 毫秒
1.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

2.
We have investigated the selective etching of 50 μm diameter via-holes for etch depth >200 μm using 30 μm thick photo resist mask in Inductively Coupled Plasma system with Cl2/BCl3 chemistry. Resultant etch rate/etch profiles are studied as a function of ICP process parameters and photo resist mask sidewall profile. Etch yield and aspect ratio variation with process pressure and substrate bias is also investigated at constant ICP power. The etch yield of ICP process increased with pressure due to reactant limited etch mechanism and reached a maximum of ∼19 for 200 μm depth at 50 mTorr pressure, 950 W coil power, 80 W substrate bias with an etch rate ∼4.9 μm/min. Final aspect ratio of etched holes is increased with pressure from 1.02 at 20 mTorr to 1.38 at 40 mTorr respectively for fixed etch time and then decreased to 1.24 at 50 mTorr pressure. The resultant final etch profile and undercut is found to have a strong dependence on the initial slope of photo resist mask sidewall angle and its selectivity in the pressure range of 20-50mTorr.  相似文献   

3.
In this study, we carried out an investigation in the etching characteristics of TiN thin films in a C12/Ar adaptive coupled plasma. The maximum etch rate of the TiN thin films was 768 nm/min at a gas mixing ratio of C12 (75%)/Ar (25%). At the same time, the etch rate was measured as functions of the various etching parameters. The X-ray photoelectron spectroscopy analysis showed the efficient destruction of the oxide bonds by the ion bombardment as well as the accumulation of low volatile reaction products on the etched surface. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of the ion-stimulated desorption of the reaction products.  相似文献   

4.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

5.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

6.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

7.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

8.
A TEOS/O2 supermagnetron double electrode plasma system was used to deposit SiO2 films. Deposition rates were measured as a function of rf power and substrate stage temperature. With an increase of rf power on both electrodes from 40 to 80W, the deposition rate increased; however, with a further increase of rf power from 80 to 120W, the deposition rate ceased to increase or decreased only a small amount. The presence of O-H bonds from bonded water in the film was evaluated using buffered HF (BHF) etching solution. With an increase of rf power from 40 to 120W, the BHF etch rate decreased; i.e., the number of O-H bonds were reduced. A minimum BHF etch rate was observed at a rf phase difference of 180° between the two rf power sources. A SiO2 film was deposited on a trench-patterned quartz substrate. A flat surface SiO2 layer with air gaps (voids) was formed on the high-aspect ratio (depth/width=1.5-2) trench area.  相似文献   

9.
GaN mesa etching is investigated using BCl3/Cl2 based inductively coupled plasma at constant ICP/RF powers for HEMT fabrication. The effect of chamber process pressure (5-15 mTorr) and BCl3/Cl2 flow rate ratio >1 on mesa sidewall profile is studied in detail using less complex photoresist mask. Mesa sidewall sharpness varied strongly with chamber pressure and deteriorated at lower pressure ∼5 mTorr. The etched GaN mesas resulted in severely damaged sidewalls with significant sidewall erosion at BCl3/Cl2 ratio of <1, which reduced gradually as BCl3/Cl2 ratio was increased to values >1 mainly due to decreased Cl ion/neutral scattering at the edges. Finally, the smooth and sharp mesa sidewalls with angle of ∼80° and moderate GaN etch rate of ∼1254 Å/min are obtained at BCl3/Cl2 ratio of 2.5:1 and 10 mTorr pressure due to a better balance between physical and chemical components of ICP etching.  相似文献   

10.
In this research, we investigated the TaN etch rate and selectivity with under layer (HfO2) and mask material (SiO2) in inductively coupled CH4/Ar plasma. As the CH4 content increased from 0% to 80% in CH4/Ar plasma, the TaN etch rate was increased from 11.9 to 22.8 nm/min. From optical emission spectroscopy (OES), the intensities for CH [431 nm] and H [434 nm] were increased with the increasing CH4 content from 0% to 100% in CH4/Ar plasma. The results of x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) showed no accumulation of etch by-products from the etched surface of TaN thin film. As a result of OES, AES and XPS analysis, we observed the etch by-products from the surfaces, such as Ta-N-CH and N-CH bonds. Based on the experimental results, the TaN etch was dominated by the chemical etching with the assistance of Ar sputtering in reactive ion etching mechanism.  相似文献   

11.
Cl2/Ar based inductively coupled plasma (ICP) etching of GaN is investigated using photoresist mask in a consequential restricted domain of pressure < 1.2 Pa and radio frequency (RF) sample power < 100 W, for selective mesa etching. The etch characteristics and root-mean-square (rms) surface roughness are studied as a function of process parameters viz. process pressure, Cl2 percentage in total flow rate ratio, and RF sample power at a constant ICP power, to achieve moderate GaN etch rate with anisotropic profiles and smooth surface morphology. The etch rate and resultant surface roughness of etched surface increased with pressure mainly due to dominant reactant limited etch regime. The etch rate and surface roughness show strong dependence on RF sample power with the former increasing and the later decreasing with the applied RF sample power up to 80 W. The process etch yield variation with applied RF sample power is also reported. The studied etch parameters result in highly anisotropic mesa structures with Ga rich etched surface.  相似文献   

12.
The investigation of Al2O3 etch characteristics in the BCl3/Ar inductively coupled plasma was carried out in terms of effects of input process parameters (gas pressure, input power, bias power) on etch rate and etch selectivity over poly-Si and photoresist. It was found that, with the changes in gas pressure and input power, the Al2O3 etch rate follows the behavior of ion current density while the process rate is noticeably contributed by the chemical etch pathway. The influence of input power on the etch threshold may be connected with the concurrence of chemical and physical etch pathways in ion-assisted chemical reaction.  相似文献   

13.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

14.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

15.
In this study, we investigated to the etch characteristics of indium zinc oxide (IZO) thin films in a CF4/Ar plasma, namely, etch rate and selectivity toward SiO2. A maximum etch rate of 76.6 nm/min was obtained for IZO thin films at a gas mixture ratio of CF4/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, including adaptively coupled plasma chamber pressure. X-ray photoelectron spectroscopy analysis showed efficient destruction of the oxide bonds by ion bombardment, as well as accumulation of low volatile reaction products on the surface of the etched IZO thin films. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of ion-stimulated desorption of the reaction products.  相似文献   

16.
Tae Yoon Kang 《Thin solid films》2009,517(14):3919-3922
In this paper, reactive ion etching of Au is performed with CF4/Ar gases, and process optimization method is suggested using a statistically established process model. The I-optimal design was employed to set up the etching experiment with operating parameters, namely, gas composition, RF power and chamber pressure. Its analysis was performed on individual parameters of the etch rate, selectivity, and profile. In addition, process optimization, including all three responses of interest, is provided simultaneously. We confirmed that a nonvolatile by-product AuFx was re-deposited on the surface, but controlling the amount of carbon fluoride provided a good etch rate with a satisfactory sidewall profile by reducing by-products. Although RF power is closely related with etch rate, increased power gives poor selectivity due to increased physical etching. Pressure and gas flows strongly interact with each other, affecting sidewall characteristics. Suggested optimization simultaneously considers three responses of interests, which is crucial in process development and optimization for quickly ramping up high volume manufacturing.  相似文献   

17.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

18.
H Norström  R Buchta  F Runovc  P Wiklund 《Vacuum》1982,32(12):737-745
SiO2 and Si3N4 on top of polycrystalline silicon, titanium silicide and gallium arsenide have been selectively etched by reactive sputter etching in glow discharges of CF4 and CHF3. It is observed that for SiO2 an infinite degree of selectivity can be obtained by admixing minor amounts of methane (<5%) to CHF3. By a proper adjustment of the operating conditions, i.e. power, gas-flow, total pressure and the CH4 to fluorocarbon ratio, we are able to control the rate of carbon deposition in such a way that etching takes place in exposed areas, releasing oxygen or nitrogen under the influence of energetic particle bombardment. The ion-assisted chemical reaction between oxygen or nitrogen and the polymerizing species, forming volatile products, together with the physical sputtering, makes these areas accessible to fluorine-containing species responsible for the chemical etching of SiO2. The importance of carbon deposition and oxygen release under energetic particle bombardment is demonstrated by resting the samples on different cathode materials and by sputter etching in an argon/methane atmosphere.These experiments confirm that prevention of carbon build-up by released oxygen is the main mechanism responsible for the high etch rate ratio between SiO2 and Si in reactive ion etching.  相似文献   

19.
Optical loss is a crucial quality for the application of polymer waveguide devices. The optimized oxygen inductively coupled plasma etching conditions, including antenna power, bias power, chamber pressure, O2 flow rate and etching time for the fabrication of smooth vertical poly(methyl-methacrylate-glycidly-methacrylate) channel waveguide were systematically investigated. Atomic force microscopy and scanning electron microscopy were used to characterize the etch rate, surface roughness and vertical profiles. The increment of etch rate with the antenna power, bias power and O2 flow rate was observed. Bias power and chamber pressure were found to be the main factor affecting the interface roughness. The vertical profiles were proved to be closely related to antenna power, bias power and O2 flow rate. Surface roughness increment was observed when the etching time increased.  相似文献   

20.
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号