首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Furnace nitridation of thermal SiO2 in pure N2 O ambient for MOS gate dielectric application is presented. N2O-nitrided thermal SiO2 shows much tighter distribution in time-dependent dielectric breakdown (TDDB) characteristics than thermal oxide. MOSFETs with gate dielectric prepared by this method show improved initial performance and enhanced device reliability compared to those with thermal gate oxide. These improvements are attributed to the incorporation of a small amount of nitrogen (~1.5 at.%) at the Si-SiO2 interface without introducing H-related species during N2O nitridation  相似文献   

2.
This study investigates a sputtered Sm2O3 thin film to apply into a resistive random access memory device. The proposed device exhibits a stable resistance ratio of about 2.5 orders after 104 cycling bias pulses and no degradation for retention characteristics monitored after an endurance test at 85 °C. The conduction mechanisms for low and high resistance states are dominated by ohmic behavior and trap-controlled space-charge limited current, respectively. The resistance switching is ascribed to the formation/rupture of conductive filaments.  相似文献   

3.
The structural and electrical characteristics of a novel nanolaminate Al2O3/ZrO2/Al2O3 high-k gate stack together with the interfacial layer (IL) formed on SiGe-on-insulator (SGOI) substrate have been investigated. A clear layered Al2O3 (2.5 nm)/ZrO2 (4.5 nm)/Al2O3 (2.5 nm) structure and an IL (2.5 nm) are observed by high-resolution transmission electron microscopy. X-ray photoelectron spectroscopy measurements indicate that the IL contains Al-silicate without Ge atom incorporation. A well-behaved CV behavior with no hysteresis shows the absence of Ge pileup or Ge segregation at the gate stack/SiGe interface.  相似文献   

4.
The impact of various rapid thermal annealing used during the integration on the La2O3/HfO2 and HfO2/La2O3 stacks deposited by Atomic Layer deposition was analyzed. The consequences of lanthanum localization in such stacks on the evolution of the films during the rapid thermal annealing are investigated in term of morphology, crystalline structure, silicate formation and film homogeneity as a function of the depth. It appeared that the La2O3 location has an impact on the temperature of the quadratic phase formation which could be linked to the formation of SiOHfLa silicate and the resistance of the films to dissolution in HF 0.05 wt%.  相似文献   

5.
Electrical properties of mixed HfO2-Ta2O5 films (10;15 nm) deposited by rf sputtering on Si have been studied from the view point of their applications as high-k layers, by standard capacitance-voltage and temperature dependent current-voltage characteristics. The effect of HfO2 addition to the Ta2O5 is thickness dependent and the thicker layers exhibit advantages over the pure Ta2O5 (higher dielectric constant, enhanced charge storage density and improved interface quality). The process of HfO2 and Ta2O5 mixing introduces negative oxide charge, tends to creates shallow bulk traps and modifies the dominant conduction mechanisms in the stack capacitors as compared to the Ta2O5-based one (a contribution of tunneling processes through traps located below the conduction band of mixed layers to the leakage current in the HfO2-Ta2O5 stacks is observed). The traps involved in both Poole-Frenkel and tunneling processes are identified.  相似文献   

6.
The trapping/detrapping behavior of charge carriers in ultrathin SiO2/TiO2 stacked gate dielectric during constant current (CCS) and voltage stressing (CVS) has been investigated. Titanium tetrakis iso-propoxides (TTIP) was used as the organometallic source for the deposition of ultra-thin TiO2 films at low temperature (<200 °C) on strained-Si/relaxed-Si0.8Ge0.2 heterolayers by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma cavity discharge system at a pressure of 66.67 Pa. Stress-induced leakage current (SILC) through SiO2/TiO2 stacked gate dielectric is modeled by taking into account the inelastic trap-assisted tunneling (ITAT) mechanism via traps located below the conduction band of TiO2 layer. The increase in the gate current density observed during CVS from room temperature up to 125 oC has been analyzed and modeled considering both the buildup of charges in the layer as well as the SILC contribution. Trap generation rate and trap cross-section are extracted. A capture cross-section in the range of 10−19 cm2 as compared to 10−16 cm2 in SiO2 has been observed. A temperature-dependent trap generation rate and defects have also been investigated using time-dependent current density variation during CVS. The time dependence of defect density variation is calculated within the dispersive transport model, assuming that these defects are produced during random hopping transport of positively charge species in the insulating high-k stacked layers. SILC generation kinetics, i.e. defect generation probability under different injected fluences for various high-constant stress voltages in both polarities have been studied. An empirical relation between trap generation probability and applied stress voltage for various injected fluences has been developed.  相似文献   

7.
The Time-Dependent-Dielectric Breakdown (TDDB) characteristics of MOS capacitors with Hf-doped Ta2O5 films (8 nm) have been analyzed. The devices were investigated by applying a constant voltage stress at gate injection, at room and elevated temperatures. Stress voltage and temperature dependences of hard breakdown of undoped and Hf-doped Ta2O5 were compared. The doped Ta2O5 exhibits improved TDDB characteristics in regard to the pure one. The maximum voltage projected for a 10 years lifetime at room temperature is −2.4 V. The presence of Hf into the matrix of Ta2O5 modifies the dielectric breakdown mechanism making it more adequate to the percolation model. The peculiarities of Weibull distribution of dielectric breakdown are discussed in terms of effect of three factors: nature of pre-existing traps and trapping phenomena; stress-induced new traps generation; interface layer degradation.  相似文献   

8.
Various bulk electrical properties and device characteristics have been measured. It has been shown that the majority carrier type is dependent on crystal stoichiometry. Mobilities of 660 cm2/V sec and 30 cm2/V sec have been measured for n-and p-type samples, respectively. Rectifying contacts and p-n junctions have been investigated by small signal analysis and the associated doping levels and equilibrium band diagrams have been determined. Photovoltage measurements on rectifying contacts have shown that the band-gap has a value of 0.95 ± 0.01eV.  相似文献   

9.
Crystal sizes of AgInS2 grown by a directional freezing depend on sulphur pressures at the preparation. The conductivity is only n-type and nominally undoped AgInS2 has the resistivity of 25 Ω-cm and the Hall mobility of 64 cm2/V sec. Sulphur vacancies of AgInS2 become electron-trapping levels in the forbidden band. It is obtained from the measurements of thermally stimulated current that the levels lie at ET1 = 0·19±0·01 eV and ET2 = 0·40±0·01 eV, and the concentrations depend on sulphur pressures at the crystal preparations. AuAgInS2 contacts operate as a Schottky barrier diode and the barrier height is 0·97 eV. AgInS2 has a dichroism because of its uniaxial lattice structure. The transition is direct for E⊥c and indirect for E∥c, and the values for the energy gap are Eg = 1·88±0·01 eV and Eg = 1·77±0·01 eV, respectively.  相似文献   

10.
本文中, 使用开尔文探针显微镜,研究了不同退火气氛(氧气或氮气)情况下氧化铪材料的电子和空穴的电荷保持特性。与氮气退火器件相比,氧气退火可以使保持性能变好。横向扩散和纵向泄露在电荷泄露机制中都起了重要的作用。 并且,保持性能的改善与陷阱能级深度有关。氮气和氧气退火情况下,氧化铪存储结构的的电子分别为0.44 eV, 0.49 eV,空穴能级分别为0.34 eV, 0.36 eV。 最后得到,不同退火气氛存储器件的电学性能也与KFM结果一致。对于氧化铪作为存储层的存储器件而言,对存储特性的定性和定量分析,陷阱能级,还有泄漏机制研究是十分有意义的。  相似文献   

11.
I–V characteristics of single crystal vanadium dioxide has been measured using a constant current source in the ambient temperature region 220–325°K. The temperature of the crystal surface has also been measured. It is observed that the switching voltage (Vth) increases but the current at switching (Ith) decreases with decreasing temperature, giving a temperature independent threshold power (Vth × Ith). At switching, the temperature of the crystal surface increases only by 3–6°K above ambient for different ambient temperatures. These results can be qualitatively explained by assuming that a filament (channel) is formed before switching. The switching occurs when the temperature of this filament of finite width approaches the semiconductor-metal transition temperature. The initial width of the channel at switching decreases with decreasing temperature and at a given ambient temperature the channel width increases with increasing current in the post breakdown region.  相似文献   

12.
为了满足电磁导轨的使用要求,采用激光熔覆技术在纯铜表面通过预置粉的方式制备了不同成分TiB2/Cu涂层,用光学显微镜、扫描电镜和X射线衍射分析了涂层的微观结构及相组成。涂层由Cu和TiB2两相组成,当TiB2的质量分数分别为0.02,0.05和0.1时,涂层的显微硬度分别约为95HV0.1,105HV0.1和152HV0.1,电导率为22.9MS/m,20.4MS/m和16.4MS/m。涂层与基体呈良好冶金结合,无裂纹在,TiB2颗粒存在团聚现象,熔覆层组织为外延生长的柱状晶。结果表明,随着TiB2的含量增大,涂层显微硬度升高,涂层的电导率下降。  相似文献   

13.
Current leakage and breakdown of MIM capacitors using HfO2 and Al2O3–HfO2 stacked layers were studied. Conduction in devices based upon HfO2 layers thinner than 8 nm is probably dominated by tunnelling. Al2O3–HfO2 stacked layers provide a limited benefit only in term of breakdown field. Constant-voltage wear-out of samples using insulating layer thicker than 6 nm is dominated by a very fast increase of the leakage current. A two step mechanism involving the generation of a conduction path followed by a destructive thermal effect is proposed to explain breakdown mechanism.  相似文献   

14.
MOSFETs incorporating ZrO2 gate dielectrics were fabricated. The IDS-VDS, IDS-VGS , and gated diode characteristics were analyzed to investigate the ZrO2/Si interface properties. The interface trap density (D it) was determined to be about 7.4times1012 cm -2middoteV-1 using subthreshold swing measurement. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (tau 0,FIJ) measured from the gated diodes were about 3.5times10 3 cm/s and 2.6times10-6 s, respectively. The effective capture cross section of surface state (sigmas) was determined to be about 5.8times10-16 cm2 using the gated diode technique and the subthreshold swing measurement. A comparison with conventional MOSFETs using SiO2 gate oxides was also made  相似文献   

15.
Electrical properties of MOSFETs with gate dielectrics of low-pressure chemical-vapor-deposited (LPCVD) SiO2 nitrided in N2O ambient are compared to those with control thermal gate oxide. N2O nitridation of CVD oxide, combines the advantages of interfacial oxynitride growth and the defectless nature of CVD oxide. As a result, devices with N2O-nitrided CVD oxide show considerably enhanced performance (higher effective electron mobility), improved reliability (reduced charge trapping, interface state generation, and transconductance degradation), and better time-dependent dielectric breakdown (TDDB) properties (tBD ) compared to devices with control thermal oxide  相似文献   

16.
In this paper, we show that the capacitance–voltage linearity of MIM structures can be enhanced using SrTiO3 (STO)/Y2O3 dielectric bilayers. The C(V) linearity is significantly improved by combining two dielectric materials with opposite permittivity-voltage responses. Three STO/Y2O3 stacks with different thicknesses were realized and compared to a 20 nm STO single layer structure. We observed that an increase in the Y2O3 thickness leads to an improvement in the voltage linearity, while maintaining an overall capacitance density greater than 10 fF/μm2.  相似文献   

17.
In this paper we present a systematic investigation of the effects of electrical stress on reliability of zirconium oxide films. In particular, we monitored stress-induced leakage current, high-field conduction and capacitance curves as function of the applied voltage and the injected charge. Defect density in the bulk oxide have been extracted from measurements by means of literature models. As a result, a square root time dependence of the stress-induced defects has been found.  相似文献   

18.
High field stress and N2 reactive ion etching (RIE)-mode plasma-generated positive oxide charge in thin (13 nm) SiO2–Si structures have been studied. A threshold field of about 8.5–9 MV/cm for positive charge formation is found. It is established that both high field stress and RIE-like plasma treatment create nonuniformly distributed positive charge in the depth of the oxide, in the form of bulk traps and slow states. It is found that the generation of neutral bulk traps is an attribute, only of the high field stressing. The structural nature of the process-induced traps is discussed. It is suggested that the impact ionization of oxygen vacancies accounts for the positive charge and neutral trap creation.  相似文献   

19.
Electrical measurements of voltage stressed Al2O3/GaAs MOSFET   总被引:1,自引:0,他引:1  
Electrical characteristics of GaAs metal–oxide–semiconductor field effect transistor with atomic layer deposition deposited Al2O3 gate dielectric have been investigated. The IV characteristics were studied after various constant voltage stress (CVS) has been applied. A power law dependence of the gate leakage current (Ig) on the gate voltage (Vg) was found to fit the CVS data of the low positive Vg range. The percolation model well explains the degradation of Ig after a high positive Vg stress. A positive threshold voltage (Vth) shift for both +1.5 V and +2 V CVS was observed. Our data indicated that positive mobile charges may be first removed from the Al2O3 layer during the initial CVS, while the trapping of electrons by existing traps in the Al2O3 layer is responsible for the Vth shift during the subsequent CVS.  相似文献   

20.
For the first time, the feasibility of ultrathin oxides grown by high pressure oxidation (HIPOX) technology in O2 ambient and nitrided in N2O ambient with rapid thermal processing has been investigated in order for them to be used as a gate oxide of ULSI devices. The dielectric breakdown electric field (E BR) and the midgap interface trap density (D itm) of the nitrided-HIPOX oxide are ?13:9MVcm?1 and 2 × 1010cm?2eV?1 respectively which are almost the same as those of the control oxide and the nitrided-control oxide. The time-tobreakdown (tBD) of the nitrided-HIPOX oxide is longer than that of the control oxide at low electric field (<10?4 A cm?2) owing to the combination of nitrogen and defects near the Si?SiO2 interface during nitridation. The lifetimes of the nitrided-HIPOX oxides increase initially, reaching a maximum value of 1:2 × 109 s at a stress current density of 1 × 10?6 A cm?2,corresponding to over 10 years, and then decrease as nitridation proceeds.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号