首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 906 毫秒
1.
2D semiconducting transition metal dichalcogenides (TMDCs), most with a formula of MX2 (M=Mo, W; X=S, Se, etc.), have emerged as promising channel materials for next-generation integrated circuits, considering their dangling-bond-free surfaces, moderate bandgaps, relatively high carrier mobilities, etc. Wafer-scale preparation of 2D MX2 films holds fundamental significance for realizing their applications. Chemical vapor deposition (CVD) is recognized as the most promising method for preparing electronic-grade 2D MX2 films. This review hereby summarizes the recent progress in CVD syntheses of wafer-scale 2D MX2 films and their applications in logic operations, data storage, and image capturing/processing related fields. The first part focuses on the wafer-scale syntheses of 2D MX2 films through designing homogeneous metal precursor supply routes (e.g., precoating soluble precursor, feeding gaseous precursor, designing independent multisource supply or face-to-face metal precursor supply routes). The second part highlights the epitaxial growth of monolayer MX2 single crystals on single-crystal Au substrates and well-designed sapphire substrates. The third part introduces various functional device/circuit related applications of CVD-derived 2D MX2 wafers. Finally, challenges and prospects are discussed from the viewpoints of the controlled synthesis, reliable characterization, and damage-free transfer of 2D MX2, as well as the fabrication and integration of high-performance devices.  相似文献   

2.
Low bandgap lead-tin halide perovskites are predicted to be candidates to maximize the performance of single junction and tandem solar cells based on metal halide perovskites. In spite of the tremendous progress in lab-scale device efficiency, devices fabricated with scalable techniques fail to reach the same efficiencies, which hinder their potential industrialization. Herein, a method is proposed that involves a template of a 2D perovskite deposited with a scalable technique (blade coating), which is then converted in situ to form a highly crystalline 3D lead-tin perovskite. These templated grown films are alloyed with stoichiometric ratio and are highly oriented with the (l00) planes aligning parallel to the substrate. The low surface/volume ratio of the obtained single-crystal-like films contributes to their enhanced stability in different environments. Finally, the converted films are demonstrated as active layer for solar cells, opening up the opportunity to develop this scalable technique for the growth of highly crystalline hybrid halide perovskites for photovoltaic devices.  相似文献   

3.
Metal-organic chemical vapor deposition (MOCVD) is an important technique for growing thin films with various applications in electronics and optics. The development of accurate and efficient MOCVD process models is therefore desirable, since such models ran be instrumental in improving process control in a manufacturing environment. This paper presents a semi-empirical MOCVD model based on “hybrid” neural networks. The model is constructed by characterizing the MOCVD of titanium dioxide (TiO2) films through the measurement of deposition rate over a range of deposition conditions by a statistically designed experiment in which susceptor and source temperature, flow rate of the carrier gas for the precursor and chamber pressure are varied. A modified backpropagation neural network is then trained on the experimental data to determine the value of the adjustable parameters in an analytical expression for the TiO2 deposition rate. In so doing, a general purpose methodology for deriving semi-empirical neural process models which take into account prior knowledge of the underlying process physics is developed  相似文献   

4.
In this paper we report on a reproducible technological process for wafer-scale fabrication of different photonic structures in Bismuth Iron Garnet (BIG: Bi3Fe5O12) thin films: two-dimensional magneto-photonic crystals (PhC), ring circulators, Bragg gratings or ridge waveguides. Different fabrication techniques such as Ion Beam Etching (IBE), Focused Ion Beam (FIB) etching, wet chemical etching and Reactive Ion etching are compared. The transfer of different geometries in BIG is obtained with good etching verticality and conservation of the dimensions using Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE). This work demonstrates the possibility of wafer-scale high-quality nanostructuration of crystalline garnet thin films for magneto-photonic devices.  相似文献   

5.
Inversion symmetry broken 3R phase semiconducting transition metal dichalcogenides (TMDC) have huge potential applications in many novel fields, such as valleytronics and nonlinear optics for the strong spin–orbit coupling and particularly the persistent noncentrosymmetric structure regardless the layer numbers, in stark contrast to the strict layer number requirement in other phases. Unfortunately, the fabrication of 3R phase TMDC is still a huge task to date. Molybdenum telluride (MoTe2) attracts increasing interest in recent years due to the easy transition between its various phases and its narrow bandgap close to silicon. However, the weak Mo–Te bond and the small energy imparity among phases make it a big challenge to obtain pure‐phase single crystalline MoTe2, especially; it is still a virgin land to obtain two‐dimensional (2D) 3R‐MoTe2. Here, by rational controlling the deposition temperature and tellurization velocity, for the first time high quality 2D 3R‐MoTe2 flakes are synthesized via chemical vapor deposition from a MoCl5 precursor. Scanning transmission electron microscopy unambiguously reveals the 3R stacking mode of as‐synthesized MoTe2. Second harmonic generation measurement confirms the excellent odd/even layer‐independent frequency conversion efficiency. Besides, the outstanding intrinsic infrared detection ability of as‐synthesized 3R‐MoTe2 is demonstrated as well.  相似文献   

6.
利用MOCVD生长技术在GaAs(100)衬底上生长了高质量的立方相AlGaN薄膜.通过光致发光 (PL)、扫描电镜(SEM)分析了不同NH3流量、不同生长温度对AlGaN外延层的结晶质量和表面形貌的影响.发现相对高的NH3流量和相对高的生长温度可以提高AlGaN外延层的结晶质量.  相似文献   

7.
Wafer‐scale, single‐crystalline 2D semiconductors without grain boundaries and defects are needed for developing reliable next‐generation integrated 2D electronics. Unfortunately, few literature reports exist on the growth of 2D semiconductors with single‐crystalline structure at the wafer scale. It is shown that direct sulfurization of as‐deposited epitaxial MoO2 films (especially, with thicknesses more than ≈5 nm) produces textured MoS2 films. This texture is inherited from the high density of defects present in the as‐prepared epitaxial MoO2 film. In order to eliminate the texture of the converted MoS2 films, a new capping layer annealing process (CLAP) is introduced to improve the crystalline quality of as‐deposited MoO2 films and minimize its defects. It is demonstrated that sulfurization of the CLAP‐treated MoO2 films leads to the formation of single‐crystalline MoS2 films, instead of textured films. It is shown that the single‐crystalline MoS2 films exhibit field‐effect mobility of 6.3 cm2 V?1 s?1, which is 15 times higher than that of textured MoS2. These results can be attributed to the smaller concentration of defects in the single‐crystalline films.  相似文献   

8.
Due to large lattice and thermal expansion coefficient mismatches, SiC films grown on Si are usually low quality. To provide a more stable growth front we added Ge in the form of GeH4 to the reactant gases in a MOCVD reactor. Several SiC films with Ge flow rates ranging from 0–50 sccm were grown on (111) Si substrates at 1000°C. TEM results show that the crystalline quality is amorphous or polycrystalline for Ge flow rates at or below 15 sccm. Samples grown at Ge flow rates at or exceeding 20 sccm have an initial layer of single crystalline 3C SiC followed by heavily twinned crystalline 3C SiC. In particular, the samples grown with 20–30 sccm Ge contain an 80 nm initial layer of reasonably high quality single crystal 3C SiC.  相似文献   

9.
卿晓梅  镇思琦 《电子科技》2019,32(12):64-68
文中研究了通过2D1D尺寸限制来调整金属过渡金属二硫化物(mTMDC)的电子及磁性。与实验可获得的半导体TMDC相比,mTMDC单层和纳米带的结合能较大、稳定性更好。与其半导体TMDC相比,2D MX2(M=Nb,Ta;X=S,Se)单层是非铁磁金属。当mTMDC纳米带的带状宽度分别接近13 ?和7 ?时,即分别形成锯齿形和扶手椅边缘终端时,mTMDC从金属过渡为半导体;当纳米带宽度进一步减小时,这些纳米带会转换回金属。Zigzag端接纳米带是铁磁半导体,其磁性也可通过氢边缘钝化来调节,而扶手椅纳米带是非铁磁半导体。研究结果表明,mTMDC具有广泛的物理特性,从金属到半导体,非铁磁到铁磁,较为适合需要具有不同磁性的稳定窄带隙半导体应用。  相似文献   

10.
The N-polar AlGaN epi-layers with an Al composition of ~10% were grown on (0001)-oriented c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD) technology. Special attention was paid on the nitridation process in the epitaxial growth of N-polar AlGaN films. The optical microscope (OM) measurement results demonstrated that the size of hexagonal defects on the surface of N-polar AlGaN epi-layer decreased dramatically with our improved nitridation process. Furthermore, the structural, electrical, and optical properties of N-polar AlGaN epi-layers were characterized extensively by means of X-ray rocking curves (XRCs), Hall effect, and photoluminescence (PL) spectroscopy. It was found that the defects-related blue-band (BB) emission was greatly suppressed and the threading dislocation (TD) density was significantly reduced by the optimized nitridation process. These characterization results reveal that the reformed nitridation process plays a vital role in the improvement in the crystalline quality as well as the electrical and optical properties of the N-polar AlGaN epi-layers.  相似文献   

11.
Platinum diselenide (PtSe2) is a 2D material with outstanding electronic and piezoresistive properties. The material can be grown at low temperatures in a scalable manner, which makes it extremely appealing for many potential electronics, photonics, and sensing applications. Here, the nanocrystalline structure of different PtSe2 thin films grown by thermally assisted conversion (TAC) is investigated and is correlated with their electronic and piezoresistive properties. Scanning transmission electron microscopy for structural analysis, X-ray photoelectron spectroscopy (XPS) for chemical analysis, and Raman spectroscopy for phase identification are used. Electronic devices are fabricated using transferred PtSe2 films for electrical characterization and piezoresistive gauge factor measurements. The variations of crystallite size and their orientations are found to have a strong correlation with the electronic and piezoresistive properties of the films, especially the sheet resistivity and the effective charge carrier mobility. The findings may pave the way for tuning and optimizing the properties of TAC-grown PtSe2 toward numerous applications.  相似文献   

12.
MOCVD外延碲镉汞薄膜的生长工艺选择   总被引:2,自引:0,他引:2  
金属有机化合物汽相沉积(MOCVD)技术是制备用于红外焦平面阵列(IRFFPA)的高质量碲镉汞(HgCdTe)薄膜材料的重要手段。文中讨论了汞源温度、生长温度、衬底材料及互扩散多层工艺(IMP)等因素在MOCVD外延生长碲镉汞薄膜过程中的作用机理,并选择适合的生长条件获得了质量优良的碲镉汞薄膜。  相似文献   

13.
Two dimensional (2D) transition metal dichalcogenides (TMDCs) have attracted interest for their compelling nanoscale new properties and numerous potential applications including fast optoelectronic devices, ultrathin photovoltaics, and high‐performance catalysts. Large‐scale growth of uniform TMDC materials is essential for investigating their physics and for their integration into devices. However, the wafer scale deposition of TMDCs on arbitrary nonselective substrates is still beyond the current state‐of‐the‐art. In this article, a method to synthesize layered TMDCs (MoS2 and WS2) at the wafer‐scale by sulfurization of transition metal ions (Mo5+ and W6+) in a gelatin template (metallo‐hydrogel) is reported. This process is adaptable to versatile substrates, including amorphous silicon oxide, high‐temperature quartz, and silicon. Although the products are nominally few layer materials, direct band photoluminescent (≈1.8 eV), similar to single‐ or decoupled multilayer MoS2 is observed. Finally, the solution‐based deposition enables contact printing of TMDC channels to be useable for device applications including thin film transistors with printed silver contacts using the same process.  相似文献   

14.
Recently, 2D electron gases have been observed in atomically thin semiconducting crystals, enabling the observation of rich physical phenomena at the quantum level within the ultimate thickness limit. However, the observation of 2D electron gases and subsequent quantum Hall effect require exceptionally high crystalline quality, rendering mechanical exfoliation as the only method to produce high‐quality 2D semiconductors of black phosphorus and indium selenide (InSe), which hinder large‐scale device applications. Here, the controlled one‐step synthesis of high‐quality 2D InSe thin films via chemical vapor transport method is reported. The carrier Hall mobility of hexagonal boron nitride (hBN) encapsulated InSe flakes can be up to 5000 cm2 V?1 s?1 at 1.5 K, enabling to observe the quantum Hall effect in a synthesized van der Waals semiconductor. The existence of the quantum Hall effect in directly synthesized 2D semiconductors indicates a high quality of the chemically synthesized 2D semiconductors, which hold promise in quantum devices and applications with high mobility.  相似文献   

15.
Scalable substitutional doping of 2D transition metal dichalcogenides is a prerequisite to developing next-generation logic and memory devices based on 2D materials. To date, doping efforts are still nascent. Here, scalable growth and vanadium (V) doping of 2D WSe2 at front-end-of-line and back-end-of-line compatible temperatures of 800 and 400 °C, respectively, is reported. A combination of experimental and theoretical studies confirm that vanadium atoms substitutionally replace tungsten in WSe2, which results in p-type doping via the introduction of discrete defect levels that lie close to the valence band maxima. The p-type nature of the V dopants is further verified by constructed field-effect transistors, where hole conduction becomes dominant with increasing vanadium concentration. Hence, this study presents a method to precisely control the density of intentionally introduced impurities, which is indispensable in the production of electronic-grade wafer-scale extrinsic 2D semiconductors.  相似文献   

16.
SrTiO3 thin films (STO), were deposited on Si(100) covered by 2 nm of SiO2, at different temperatures from 450 °C to 850 °C using liquid injection MOCVD, the bimetallic precursor being Sr2Ti2(OiPr)8(tmhd)4. The STO films were analysed by XRD, FTIR, SIMS and TEM. An amorphous layer was observed between STO and SiO2/Si. The nature and thickness of the interlayer were determined, as well as the most favourable conditions for a good quality crystalline STO film, and a reduced interlayer.  相似文献   

17.
Graphene oxide (GO) films can be readily prepared at wafer scale, then reduced to form graphene-based conductive circuits relevant to a range of practical device applications. Among a variety of reduction methods, laser processing has emerged as an important technique for localized reduction and patterning of GO films. In this study, the novel use of confocal microscopy is demonstrated for high-resolution characterization, in situ laser reduction, and versatile patterning of GO films. Multi-modal imaging and real-time tracking are performed with 405 and 488 nm lasers, enabling large-area direct observation of the reduction progress. Using image analysis to cluster flake types, the different stages of reduction can be attributed to thermal transfer and accumulation. Delicate control of the reduction process over multiple length scales is illustrated using millimeter-scale stitched patterns, micropatterning of single flakes, and direct writing conductive 2D wires with sub-micrometer resolution (530 nm). The general applicability of the technique is shown, allowing fabrication of both conductive reduced graphene oxide (rGO) films (sheet resistance: 2.5 kOhm sq−1) and 3D microscale architectures. This simple and mask-free method provides a valuable tool for well-controlled and scalable fabrication of reduced GO structures using compact low-power lasers (< 5 mW), with simultaneous in situ monitoring and quality control.  相似文献   

18.
对Si(111)和Si(100)衬底上用化学气相沉积法制成的TiO2薄膜进行了不同温度的热处理,并用原子力显微镜对处理后薄膜的形貌变化进行了观察。X-射线衍射分析表明形貌变化过程即晶化过程。晶化物相为金红石。晶化程度(或形貌变化程度)与热处理的温度有次数(或热处理时间)有关。Si(111)衬底上TiO2晶化形貌为杂乱分布的柱状、板状,定向不好;而Si(100)衬底上TiO2晶化形貌为定向较好的四方柱状或板状,这是因为Si(100)与金红石(001)都属四方对称结构,两相结构在此方向上容易匹配的结果。在相同热处理条件下,Si(111)衬底比Si(100)衬底上TiO2晶化程度高,说明非定向附生的晶化作用比定向附生的晶化作用容易实现。  相似文献   

19.
The chemical vapor deposition (CVD) method is a dry approach that can produce high quality crystals and thin films at large scale which can be easily adapted by industry. In this work, CVD technology is employed to grow high quality, large size all-inorganic cesium lead bromide perovskite crystalline film for the first time. The obtained films have millimeter size crystalline domains with high phase purity. The growth kinetics are examined in detail by optical microscopy and X-ray diffraction. The deposition rate and growth temperature are found to be the key parameters allowing to achieve large scale crystal growth. The large crystalline grains exhibit exceptional optical properties including negligible Stokes shift and uniform photoluminescence over a large scale. This suggests a high degree of crystallinity free from internal strain or defects. A lateral diode within one large crystalline grain is further fabricated and significant photo-generated voltage and short circuit current are observed, suggesting highly efficient carrier transport and collections without scattering within the grain. This demonstration suggests that the CVD grown all-inorganic perovskite thin films enable a promising fabrication route suitable for photovoltaic or photo-detector applications.  相似文献   

20.
Atomically thin 2D materials are good templates to grow organic semiconductor thin films with desirable features. However, the 2D materials typically exhibit surface roughness and spatial charge inhomogeneity due to nonuniform doping, which can affect the uniform assembly of organic thin films on the 2D materials. A hybrid template is presented for preparation of highly crystalline small-molecule organic semiconductor thin film that is fabricated by transferring graphene onto a highly ordered self-assembled monolayer. This hybrid graphene template has low surface roughness and spatially uniform doping, and it yields highly crystalline fullerene thin films with grain sizes >300 nm, which is the largest reported grain size for C60 thin films on 2D materials. A graphene/fullerene/pentacene phototransistor fabricated directly on the hybrid template has five times higher photoresponsivity than a phototransistor fabricated on a conventional graphene template supported by a SiO2 wafer.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号