首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
MNOS capacitors with oxide thicknesses 85?-600? and silicon nitride thicknesses 200-2000? have been irradiated with 2 MeV electrons at 80°K. Measured flatband shifts are found to depend on both polarity and magnitude of the applied field, oxide thickness, nitride thickness, and variations in device processing. For negative gate bias and effective applied fields 1-2?106 V/Cm, ?VFB is independent of device processing and magnitude of the applied field. For these bias conditions, it is shown that flatband shifts in all MNOS samples may be explained by considering only generation and trapping of holes in the oxide. The holes travel a mean free path of 125± 25? in the oxide before being trapped. For positive gate bias, electrons generated in the oxide are trapped at the oxide-nitride interface and/or in the bulk of the nitride, compensating the effect of the positively charged trapped holes in the oxide, and producing a relatively smaller ?VFB for positive bias. The electron trapping process is considerably processing dependent. For high effective applied fields exceeding ± 2×106 V/cm, a strongly field-dependent mechanism of charge generation in the gate insulator is observed.  相似文献   

2.
Avalanche injection techniques are used to provide hole currents through MOS capacitors and study the trapping of holes in the oxide layer. Although radiation is not in any way involved in these experiments, the trapped positive charge and surface states resulting from hole injection are similar to those obtained using radiation. The processing and oxide thickness dependence of hole trapping phenomena are also investigated. Prolonged post-oxidation annealing treatments are shown to lead to enhanced hole trapping in "hardened" oxides. Hole trapping cross-sections between 10-13 and 10-14 cm2 and trap densities between 1012 - 1013 cm-2 are measured depending on the processing conditions. The effective charge density is studied over the range of oxide thickness between 200 ? and 600 ? as a function of post-oxidation anneal in these "hardened" oxides. While the effective charge density is only weakly dependent on oxide thickness in unannealed oxides, in annealed oxides it exhibits a strong linear dependence of trapping on oxide thickness. The dependence on post-oxidation anneal time and ambient are also discussed. These results indicate a strong similarity between hole trapping induced by avalanche injection and by radiation.  相似文献   

3.
Results of irradiation and high field tunnel injection experiments on MOS capacitors are discussed. The midgap voltage shift as a function of dose is caused by hole trapping only. In the case of tunnel injection, the generation of electron-hole pairs by impact ionization requires a much larger electron density and high fields. Thus a model of charge build-up is established which takes into account the hole trapping in neutral oxide states, the subsequent electron trapping in now positively charged states and detrapping of captured electrons. By means of this model, the prediction of the radiation hardness of MOS devices is feasible, provided that the impact ionization coefficient a is known accurately. If this is not the case, the combined techniques of ionizing irradiation and tunnel injection can be utilized to determine ? = ?o exp(-H?/F) as a function of the electrical field F. Electron capture and detrapping crosssections ?n and ?n, resp., can be deduced by fitting the model to the experimental results. An F-3 dependency for ?n and an exp(-H?/F) dependency for ?n are found. Only a weak dependence on different processing parameters is observed. The proposed model is verified by a sequence of irradiation and injection steps. The generation of oxide charge is accompanied by an increase in interface state density Dit with a distribution, which peaks at about 0.15 eV above midgap, in both experiments. The results indicate that the generation of interface states is proportional to the amount of trapped holes.  相似文献   

4.
Avalanche-injection of holes and electrons into nonirradiated and irradiated MOS capacitors, respectively, were used to study hole traps in the SiO2. The trapping parameters for holes, and for electrons in the presence of trapped holes, were obtained in the range 10-14 - 10-13 cm2 for oxide thicknesses in the range 200 - 1000?. A dominant bulk specie is determined to tail off from the Si/SiO2 interface with a characteristic distance of 150-200? for dry oxide and approximately 400? for wet oxide. The electron-injection is shown to be an effective probe of the trapped-hole distribution in the SiO2 after irradiation. The effect of electron compensation of trapped holes during irradiation had been included in the trapping kinetics. C-V shifts and interface state build-up near mid- band after irradiation were found, from irradiation experiments, to follow the same linear dependence on the integrated electron and hole flux crossing the Si/SiO2 interface.  相似文献   

5.
This paper reviews recent calculations and experiments dealing with charge transport and capture in activated alkali halide scintillators. Both electrons and holes are captured at thallium sites in crystals such as KI(Tl) and NaI(Tl). The kinetics of charge transport is governed either by the diffusive motion of the self-trapped hole, or by the release of electrons from a thallium site.  相似文献   

6.
The model considers charge buildup in MOS structures due to hole trapping in the oxide and the creation of sheet charge at the silicon interface. The contribution of hole trapping causes the flatband voltage to increase with thickness in a manner in which square and cube dependences are limiting cases. Experimental measurements on samples covering a 200 - 1000 ? range of oxide thickness are consistent with the model, using independently obtained values of hole-trapping parameters. An important finding of our experimental results is that a negative interface charge contribution due to surface states created during irradiation compensates most of the positive charge in the oxide at flatband. The tendency of the surface states to "track" the positive charge buildup in the oxide, for all thicknesses, applies both in creation during irradiation and in annihilation during annealing. An explanation is proposed based on the common defect origin of hole traps and potential surface states.  相似文献   

7.
The metallic spike model for neutron damage has been shown to account for the observed anomalous infrared absorption in GaAs. In this paper, the electrical properties of semiconductors containing metallic spikes are explored. The metallic zones are shown to act as deep potential wells which trap carriers from the host semiconductor energy bands. The component of mobility associated with carrier scattering from the depletion region surrounding charged spikes is estimated as a function of temperature, including the temperature dependence of the trapped charge. Hall measurement data taken before and after neutron irradiation of n-type GaAs are compared with theory and good agreement is obtained. It is proposed that the high field trapping and slow release of electrons observed in neutronirradiated Gunn diodes is associated with the presence of metallic spikes. Hot electrons in high field domains penetrate the electrostatic barrier and are trapped within the spikes. When the low field condition is restored, excess electrons return to the host semiconductor matrix. The rate of escape of excess electrons is estimated from considerations of the processes of emission over and tunneling through the electrostatic barrier. Measurements of the temperature - dependent decay rates of the excess charge are obtained from neutron-irradiated Gunn diodes. Two decay rates were obtained at each temperature. The shorter decay time shows a temperature dependence consistent with a quantum tunneling mechanism. The longer decay time shows a stronger temperature dependence which is in qualitative agreement with emission of electrons over the barrier.  相似文献   

8.
Annealing under negative bias of metal-oxide-semiconductor field-effect transistors (MOSFETs) previously irradiated and annealed under positive bias is studied as a function of oxide field and time. Using three different sets of samples ranging from hard to soft, it is possible to observe a considerable reversal of the oxide trapped charge component in the two harder sets and essentially none in the soft set. These results are interpreted in terms of electrons tunneling back and forth from the Si substrate to electron traps associated with the simple oxygen vacancy (an E' center) in the oxide. Some of these compensating electrons do reform the Si-Si bonds broken by the trapped holes. The results are consistent with a large body of previous work. In addition, the data suggest that the energy level of the hole trap is below the valence band edge of Si  相似文献   

9.
Charging of Polymethyl Methacrylate insulators (PMMA), in a scanning electron microscope (SEM) is studied owing to a time resolved current method. This method allows the evolution of trapped charge versus time and the charging time constant to be deduced. The effect of surface roughness change on the ability of PMMA to trapped charge is highlighted. The results show that the trapped charge at the steady state decreases when the roughness increases in the micrometer range while the time constant of charging increases with surface roughness. This behaviour is due to the increase of leakage current and/or enhanced secondary electron emission (SEE). On the one hand, surface mechanical finishes allows, the build up charge in insulators submitted to an electron bombardment to be lowered. On the other hand this treatment allows the secondary electron emission to be raised for some specific applications.  相似文献   

10.
Production of the high-Z charge states in helium, nitrogen, and argon has been measured in the INTEREM device at the Oak Ridge National Laboratory. The INTEREM device contains a hot electron (~500 keV) plasma trapped in a minimum B geometry. The device is of interest because its parameters, electron density and energy, and ion lifetime are consistent with those required for the production of highly charged ions. Ion charge and ion energy spectra were measured independently using an einzel lens type energy analyzer combined with a quadrupole mass filter. For helium, most of the ions were doubly charged under proper operating conditions. For nitrogen, we found the ion yield to be peaked at Q = 4, and under these conditions the yield at Q = 6 was down by a factor of about 20. Energy distribution curves for different charge states show interesting features, from which important information may be inferred about the plasma in INTEREM. Although discrepancies exist in the ion yields we conclude that an INTEREM-like device employing a minimum B geometry and electron cyclotron resonance heating may be expected to be a good high-Z ion source.  相似文献   

11.
The radiation sensitivity of MOS devices has been recognized to be the result of a charge buildup caused by the sweepout of electrons and the trapping of holes following hole-electron pair production by ionizing radiation. Holes have been shown to have a finite, although small, mobility in thermally grown SiO2. Trapping of holes takes place near the Si-SiO2 interface, possibly by oxygen vacancies in the oxide. Normal thermally grown SiO2 possesses only small concentrations of electron traps. Electron traps have been shown to be generated, however, in the oxide by ion implantation, by irradiation with nonpenetrating electrons, and by exposure of the surface of the oxide to negative ions from a corona discharge. Although Na+ and Li+ ions have been shown to be mobile at room temperature in SiO2, contamination can be kept to levels where ionic charge buildup is negligible. The role of contaminants in the formation of hole traps, however, remains to be determined.  相似文献   

12.
We have investigated the degradation induced by I- and Si-ions on 10- and 3-nm-thick oxide MOS capacitors. Ten-nanometer oxides were biased at low electric field (⩽3.3 MV/cm) during irradiation up to 100 Mrad(Si). DC radiation induced leakage current (RILC) has been observed after irradiation, and the differences of RILC characteristics between 10-nm and thinner oxides are discussed. In 10-nm oxides, RILC is attributed to multitrap assisted tunneling, which is reduced by subsequent Fowler-Nordheim electron injection. The density of the radiation-induced positive charged defect, the positive charge recombination by Fowler-Nordheim electron injection, and the negative charge trapping in radiation-induced neutral electron traps have been also addressed. On the other side, radiation-induced soft breakdown (RSB) is triggered by I-ions in 3-nm oxides at low doses (<1 krad(Si)) for moderate applied electric fields (4.4 MV/cm). Silicon ion irradiation is unable to produce RSB and RILC in 10-nm oxides, but it can generate a peculiar RILC in 3-nm oxides  相似文献   

13.
In order to explain the complicated phenomenon of xenon escape from natural graphite, the following escape mechanism based on the trap model is proposed by the authors. During an annealing process, xenon trapped in the defects of the graphite crystal will be released from the trapping sites, and then diffuse through the interclaminar space to the surface, where the xenon will be desorbed into the atmosphere. It is presumed that the activation energies to release the xenon atoms from their trapped sites have widely distributed values.

A mathematical expression for tempering (linear temperature-rise annealing) was applied both to the release and to the diffusion process, assuming that there was no resistance to xenon transport during the desorption process. The kinetics of an n order irreversible reaction with a wide range of activation energies were applied to the process of release from trap. The concept of initial activation energy spectrum, which provides an indication of the trapping state of xenon in terms of the activation energy for the release before any annealing, was introduced to analyze the complicated escape behavior. Mathematical analysis showed that there was negligible effect of diffusion of released xenon on the escape during tempering at a temperature-rise rate of less than 5°C/min, due to rapid diffusion.  相似文献   

14.
Advanced nuclear fuel concepts sometimes involve metal oxide interfaces between fissile and nonfissile phases. During operation, cascade damage as secondary events from a fission track will occur throughout the material. Some of that damage will take place at the interface between phases. Here we simulate representative secondary events of this nature. As a model system, ongoing experiments consider a composite in which the nonfissile material is magnesia and the fissile phase is modeled via hafnia as a surrogate. In correspondence the experiments, the atomistic simulation cells are composed of hafnia in the fluorite structure and magnesia in the rocksalt structure. Molecular dynamics simulations of cascade damage across interfaces of these materials shows Hf cations becoming kinetically trapped in the magnesia phase. The Hf cations remained trapped for the duration of the 20-ps simulations. When the primary-knock-on atom energy is above a few hundred eV in the direction of the interface and is within five lattice spacings, the propensity for trapping is very high. Under these same conditions, an Mg cation will occasionally become trapped in the hafnia. Complementary electronic structure calculations indicate that Hf cations are thermodynamically unstable in magnesia. Furthermore, these calculations indicate that the charge on the Hf ions reduces by one electron if no compensating defect is present, but reverts to the charge in HfO2 bulk in the presence of a defect such as an oxygen interstitial. Extensions of these observations to the behavior of urania and ceria are mentioned.  相似文献   

15.
The transient response of SiO2 gate-insulator MOS capacitors to pulsed electron beam irradiation was studied. The radiation-induced flatband voltage shift (?VFB) in SiO2 MOS capacitors was measured with a fast C-V technique from 70 ?sec to 1000 sec after a 60 krad radiation pulse for temperatures from 80 to 293 K. In complementary experiments, the post-irradiation charge displacement in the MOS capacitors was measured with an integrating picoammeter. By correlating the relaxation of the flatband voltage with integrated current measurements, it was established that the measured response is dominated by hole transport and trapping in the SiO2 film. The temporal and temperature dependences of the hole transport are well described by a stochastic hopping model based on a continuous time random walk (CTRW). The essential feature of the CTRW is that the transport occurs via a carrier hopping (phonon assisted) process between localized sites randomly distributed in the amorphous SiO2. Since the carriers do not require excitation to the band edges in order to be mobilized, the activation energy for conduction (tunneling) is independent of the optical excitation energy.  相似文献   

16.
Charging effects observed in MOS structures which have been exposed to sputtering plasmas or electron beam deposition suggest that Vacuum Ultraviolet (VUV) or soft X-radiation is important in producing these effects. Our experiments show that under positive gate bias VUV irradiation produces large positive charging effects for photon energies above 8.8 eV, the threshold for electron-hole pair creation in SiO2. This charging appears to be accompanied by an increase in interface state density. VUV radiation proves to be more useful than higher energy quanta or particles in studying radiation charging. This is true because one can control the depth of radiation absorption into the oxide. Etching experiments show that positive charge is induced near the Si-SiO2 interface even when radiation is absorbed near the gate electrode. This result is strong evidence in support of the hole transport and trapping model. We present evidence that under irradiation with positive bias, positive space charge is formed near both interfaces. We also show how a large positive space charge can be introduced into the oxide without a gate electrode.  相似文献   

17.
Calculations have shown that, for comparable trapping lifetimes, the effect of charge trapping in a coaxial detector is significantly less for the carriers which move radially outward from the core than for those which move inward, as a consequence of the non-uniform electric field. This has been verified by resolution measurements on two thin coaxial detectors made from the same hole-trapping crystal; one was made conventionally, by drifting lithium in from the outer surface, the other in an "inside out" configuration by drifting lithium outward from the surface of a central hole.  相似文献   

18.
Charge collected by diffusion from ion tracks in a semiconductor substrate may be influenced by the substrate diffusion length, which is related to recombination losses. A nonuniform spatial distribution of recombination centers results in a nonuniform diffusion length function. A theoretical analysis shows that, excluding some extreme cases, charge collection is insensitive to spatial variations in the diffusion length function, so it is possible to define an effective diffusion length having the property that collected charge can be approximated by assuming a uniform diffusion length equal to this effective value. Extreme cases that must be excluded are those in which a large number of recombination centers are confined to a narrow region near the substrate boundary.  相似文献   

19.
The existence of a rapid annealing phase in the decay of space charge induced in silicon dioxide by pulsed irradiation has been demonstrated. This effect has been observed in MOS structures prepared from both wet and dry thermal oxides and also in several commercial N-channel MOSFET's. A simple model involving thermal release of the trapped positive charge from a distribution of oxide trapping levels conveniently approximates the major features of short-term annealing.  相似文献   

20.
For exchange of deuterium (D) in oxide ceramics for protium (H) in light water molecule at room temperature is proposed the one way diffusion model: absorption of proton and adsorption of OH- due to dissociation of H2O at the surface, diffusion of proton, release of deuteron in trapping site due to local molecular recombination with proton and the subsequent trapping of another proton into the vacant trapping site. The one way diffusion model has been formulated in form of the mass balance equations for free proton and both proton and deuteron trapped in trapping sites which include the rate constants of chemical reactions for proton described above. The solutions of the concentrations of deuterons retained and protons uptaken in the specimen have been fitted to the experimental data and the rate constants of the chemical reactions relevant to the D-H exchange have been determined. The rate constants of the chemical reactions are discussed. Moreover, potential applications of the one way diffusion model are discussed.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号