首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Amorphous Si-N films are synthesised from an NH3/SiH4 gas mixture by plasma-enhanced chemical vapour deposition (PECVD) at fixed radio frequency (13.56 MHz) and total gas pressure (34 ± 4 Torr). The variable process parameters and their ranges are: (i) substrate temperature, 200–400°C; (ii) RF power density, 0.08–0.35 W cm−2; (iii) NH3/SiH4 flow ratio, 40:400–40: 1200 ml min−1. Fundamental properties of the Si-N films are characterised through elemental composition, chemical speciation, optical and electrical properties, all of which are dependent on the process parameters.  相似文献   

2.
In general, high-temperature processes cause thermal stresses and diffusion of dopants, resulting in reduced device yields. It is thus desirable to reduce the number of high-temperature steps and the use of an in situ doping technique eliminates one such step. In this investigation, low-pressure chemical vapour deposition (LPCVD) and plasma-enhanced chemical vapour deposition (PECVD) have been utilised to deposit in situ doped polycrystalline silicon films. The process characteristics and properties such as spreading resistance, grain structure, etch rate using a plasma and dopant concentrations of these films have been investigated and explained using a simple model for dopant activation and grain growth. It is shown that good-quality films suitable for VLSI can be produced.  相似文献   

3.
It is demonstrated that the results of refractive index, infra-red absorption and electron spin resonance measurements on low temperature PECVD silicon dioxide films are con-sistent with a network structure composed of densified, amorphous SiO2 and micro-scopes. The density of the amorphous SiO2 is suggested to be greater than that of un-densified SiO2 by about 10%. Approximately 5% of the deposited film volume is argued to consist of micropores High temperature annealing relaxes the dense state of the amorphous SiO2 and collapses the volume occupied by the micropores.  相似文献   

4.
Silicon oxides deposited by remote plasma-enhanced chemical-vapor deposition (Remote PECVD) can be grown under conditions which produce hydrogen-free SiO2, and under conditions which promote the incorporation of bonded-hydrogen in either SiH or SiOH groups, but generally not in both. In this paper, we investigate the relationship between the deposition conditions leading to OH incorporation, and other post-deposition pathway(s) by which OH can also be incorporated. Two ways by which OH can be incorporated into the oxides are by: (i)intrinsic pathways which are associated with the heterogeneous chemical reactions responsible for film growth; and (ii)extrinsic pathways which refer to incorporation after film deposition stops. The results of our experiments to date show no evidence to support the intrinsic process; all of the infrared (ir) detectable OH is shown to derive from post-deposition or extrinsic sources. We have found two distinct post-deposition sources, one from the deposition chamber ambient during cool-down and one from atmospheric moisture. Each of these sources has a particular spectroscopic signature. We show that OH incorporated from atmospheric moisture occurs as spatially correlated near-neighbor Si-OH groups, whereas OH groups incorporated in the deposition chamber ambient are randomly distributed in the SiO2 host material.  相似文献   

5.
针对用于制备非晶硅薄膜的PECVD设备反应室的流体场进行了模拟仿真,并实验制备了相对应条件下的非晶硅薄膜,利用台阶仪完成了对薄膜厚度的测量,对比仿真结果,发现薄膜的厚度分布情况与基片表面附近的气流分布情况密切相关,获得均匀性优于2.5%非晶硅薄膜.  相似文献   

6.
PECVD制备氮化硅薄膜的研究   总被引:2,自引:0,他引:2  
赵崇友  蔡先武 《半导体光电》2011,32(2):233-235,239
采用PECVD法制备了氮化硅薄膜,探讨了沉积参数对氮化硅薄膜折射率的影响和衬底温度对氮化硅薄膜形貌和成分的影响规律。结果表明,不同的NH3流量可改变反应腔体内的氮硅比,对氮化硅的折射率,即减反射性能影响较大;衬底温度是影响氮化硅薄膜形貌和成分的主要因素;在衬底温度达到400℃时,形成了白色团状或岛状的氮化硅膜。  相似文献   

7.
PECVD SiO2 薄膜内应力研究   总被引:2,自引:0,他引:2  
孙俊峰  石霞 《半导体技术》2008,33(5):397-400
研究了等离子体增强化学气相淀积(PWCVD)法生长SiO2薄膜的内应力.借助XP-2型台阶仪和椭偏仪测量计算了SiO2薄膜的内应力,通过改变薄膜淀积时的工艺条件,如淀积温度、气体流量、反应功率、腔体压力等,分析了这些参数对SiO2薄膜内应力的影响.同时讨论了内应力产生的原因以及随工艺条件变化的机理,对工艺条件的优化有一定参考价值.  相似文献   

8.
采用等离子体增强化学气相沉积(PECVD)技术制备薄膜硅/晶体硅异质结,通过测量沉积了本征非晶硅(a-Si:H(i))后晶体硅(c-Si)的少子寿命以及结构为Ag/a-Si:H(p)/a-Si:H(i)/c-Si(n) /Ag 异质结的暗I-V特性,研究了等离子体初期瞬态过程和氢预处理对异质结界面性质的影响。结果表明:使用挡板且当挡板时间(tS)大于100秒时,可以有效地减少等离子体初期瞬态过程对界面性质的负面影响;与热丝化学气相沉积中氢原子处理有利于界面钝化不同,PECVD中的氢等离子体处理,由于氢原子的轰击特性,对钝化可能存在一定的不利影响;最优氢预处理时间为60秒。  相似文献   

9.
Bulk and surface passivation by silicon nitride has become an indispensable element in industrial production of multicrystalline silicon (mc‐Si) solar cells. Microwave PECVD is a very effective method for high‐throughput deposition of silicon nitride layers with the required properties for bulk and surface passivation. In this paper an analysis is presented of the relation between deposition parameters of microwave PECVD and material properties of silicon nitride. By tuning the process conditions (substrate temperature, gas flows, working pressure) we have been able to fabricate silicon nitride layers which fulfill almost ideally the four major requirements for mc‐Si solar cells: (1) good anti‐reflection coating (refractive index tunable between 2·0 and 2·3); (2) good surface passivation on p‐type FZ wafers (Seff<30 cm/s); (3) good bulk passivation (improvement of IQE at 1000 nm by 30% after short thermal anneal); (4) long‐term stability (no observable degradation after several years of exposure to sunlight). By implementing this silicon nitride deposition in an inline production process of mc‐Si solar cells we have been able to produce cells with an efficiency of 16·5%. Finally, we established that the continuous deposition process could be maintained for at least 20 h without interruption for maintenance. On this timescale we did not observe any significant changes in layer properties or cell properties. This shows the robustness of microwave PECVD for industrial production. Copyright © 2005 John Wiley & Sons, Ltd.  相似文献   

10.
Amorphous/crystalline silicon heterojunctions(a-Si:H/c-Si SHJ) were prepared by plasma-enhanced chemical vapor deposition(PECVD).The influence of the initial transient state of the plasma and the hydrogen pre-treatment on the interfacial properties of the heteroj unctions was studied.Experimental results indicate that: (1) The instability of plasma in the initial stage will damage the surface of c-Si.Using a shutter to shield the substrate for 100 s from the starting discharge can prevent the influence of the instable plasma process on the Si surface and also the interface between a-Si and c-Si.(2) The effect of hydrogen pre-treatment on interfacial passivation is constrained by the extent of hydrogen plasma bombardment and the optimal time for hydrogen pre-treatment is about 60 s.  相似文献   

11.
A solution-processable high k dielectric materials based on polyimide/zirconium dioxide (PI-ZrO2) for organic thin film transistors (OTFTs) is demonstrated. To study the effect of the ZrO2 content on the properties of the dielectric layer, a series of PZn films (n = 0, 2, 5, 8, 10, 12, and 15, which are the weight percentage of ZrO2 in the film) were prepared. The results showed that all of the prepared hybrid films had a high transmittance of 96–99%. The nondestructive Zr K-edge XANES analysis revealed that the absorption intensity was proportional to the ZrO2 content. EXAFS analysis indicated that the ZrO2 formed bigger clusters in the film than in the solution state. Water and diiodomethane contact angle analysis found that the PZ12 film had the largest contact angles, lowest surface energy, and lowest water absorbance, which results in the least structural defects and highest carrier mobility. Electrical property analysis indicated that the dielectric constant of the films increased from 4.04 for the PZ0 film to 8.10 for the PZ12 film, but then dropped for the PZ15 film. All current leakages (−2 MV/cm) were less than 10−9 A/cm. The carrier mobility in the PZ0 film was 2.78 × 10−1, up to 4.15 × 10−1 for the PZ12 film, but down to 3.34 × 10−1 for the PZ15 film. The Ion/Ioff ratio was 2.3 × 103 for PZ0, up to 1.4 × 105 for PZ12, but down to 1.8 × 104 for PZ15. The hybrid dielectric devices showed better performance. This work reveals great potential for hybrid dielectric materials for OTFT applications.  相似文献   

12.
The optical properties of hydrogenated amorphous silicon thin films prepared by a new thermocatalytic plasma enhanced chemical vapor deposition (PECVD) method are here reported for the first time. The transmission spectrum of the film, deposited at a rate of 1.5 nm/s, was measured between 500 and 1100 nm. The envelopes of the transmission spectrum interference maxima and minima were analyzed to reveal the absorption coefficient α(λ@#@), the refractive indexn(λ), the average thickness of the film (791 nm) and the variation of the thickness (11.4 nm), using an analysis which takes into account film inhomogeneity. The modified Newton's method of numerical analysis was used to obtain the optical parameters. The optical band gap ε0} was determined to be 1.69 eV from the absorption coefficient spectrum, commensurate with values quoted for lower deposition rate PECVD films. The value for ε0}, the small variation of the film thickness, and a value for the defect density of 3.7 x 1015}cm-3} determined for similar material in other work indicate that the thermocatalytic PECVD method can produce acceptable quality films at a high deposition rate.  相似文献   

13.
简要介绍了纳米晶硅薄膜的微结构表征方法,重点讨论了PECVD制备方法中工艺参数对薄膜结构的影响,并探讨了氢在薄膜形成和生长中的作用。通过优化氢稀释率、衬底温度、反应气压、激励功率和激发频率等工艺参数可提高纳米晶硅薄膜的晶化率并改善薄膜质量。结合喇曼光谱、X射线衍射谱、傅里叶红外光谱和高分辨透射电镜等表征方法可深入研究薄膜形成机理,对进一步探索薄膜光电特性有重要意义。分析了等离子体化学气相沉积(PECVD)制备方法中各工艺参数对薄膜质量和沉积速率的影响,指出其存在的问题,并探寻了今后的研究方向。  相似文献   

14.
Physical properties of memory quality PECVD silicon nitride   总被引:1,自引:0,他引:1  
Memory-quality silicon nitride has been deposited using plasma-enhanced chemical vapor deposition (PECVD). Film composition was varied by controlling the nitrogen concentration of the reactant gases. The effects of the source and content of the nitriding agent on the physical properties of the film were studied using ellipsometry and ultraviolet (UV), fourier transform infrared (FTIR) and Auger electron spectroscopy. Refractive index of the films varied from 1.77 to 1.95 corresponding to Si/N ratios of 0.75 to 1.03. Ultraviolet spectroscopy yielded band edge values of 4.9 to 2.2 eV depending on the Si/N ratio. Window size, endurance and retention performance is comparable to that reported for both atmospheric- and low-pressure chemical vapor deposited films. A strong correlation between the Si-H bond concentration and the memory performance was observed. Although some excess silicon in the film is needed for memory operation in a metal-nitride-oxide-silicon (MNOS) structure, excessive amounts result in low breakdown fields, small memory windows and poor retention characteristics. This work was supported by a grant from the National Science Foundation.  相似文献   

15.
We have investigated the crystallization of the oxide layer that grows on a deposited silicon film in a high temperature furnace. The growth of large SiO2 crystal grains can be controlled by interfacial stress or surface topography. When the Si film is deposited on topographically patterned surfaces, the SiO2 grains are nucleated along the edges and extremities of the relief structure. A competition in which faster growing grains terminate slower growing grains results in an average growth direction perpendicular to the edges. Single crystal grains of α-cristobalite up to hundreds of microns in length can be grown in this fashion.  相似文献   

16.
The use of nitrided SiO2for very large scale integration (VLSI) applications is becoming increasingly attractive. Nitridation can convert a thin surface region of SiO2into a nitroxide film which is a diffusion barrier that allows the use of thin dielectrics in MOS structures and a variety of gate metals without contaminating the interfacial region. We propose a two-activation-energy model of nitridation and suggest a structure for MOS gate insulator applications. We achieved this structure using rapid thermal nitridation at 1300°C for 20 s in 1 atm. of ammonia.  相似文献   

17.
A stack of hydrogenated amorphous silicon (a‐Si) and PECVD‐silicon oxide (SiOx) has been used as surface passivation layer for silicon wafer surfaces. Very good surface passivation could be reached leading to a surface recombination velocity (SRV) below 10 cm/s on 1 Ω cm p‐type Si wafers. By using the passivation layer system at a solar cell's rear side and applying the laser‐fired contacts (LFC) process, pointwise local rear contacts have been formed and an energy conversion efficiency of 21·7% has been obtained on p‐type FZ substrates (0·5 Ω cm). Simulations show that the effective rear SRV is in the range of 180 cm/s for the combination of metallised and passivated areas, 120 ± 30 cm/s were calculated for the passivated areas. Rear reflectivity is comparable to thermally grown silicon dioxide (SiO2). a‐Si rear passivation appears more stable under different bias light intensities compared to thermally grown SiO2. Copyright © 2008 John Wiley & Sons, Ltd.  相似文献   

18.
In this paper, fabrication of a non‐continuous silicon dioxide layer from a silica nanosphere solution followed by the deposition of an aluminium film is shown to be a low‐cost, low‐thermal‐budget method of forming a high‐quality back surface reflector (BSR) on crystalline silicon (c‐Si) thin‐film solar cells. The silica nanosphere layer has randomly spaced openings which can be used for metal‐silicon contact areas. Using glass/SiN/p+nn+ c‐Si thin‐film solar cells on glass as test vehicle, the internal quantum efficiency (IQE) at long wavelengths (>900 nm) is experimentally demonstrated to more than double by the implementation of this BSR, compared to the baseline case of a full‐area Al film as BSR. The improved optical performance of the silica nanosphere/aluminium BSR is due to reduced parasitic absorption in the Al film. Copyright © 2007 John Wiley & Sons, Ltd.  相似文献   

19.
Trimethylsilane, (CH3)3SiH, is a non-pyrophoric organosilicon gas. This material is easily used to deposit dielectric thin films in standard PECVD systems designed for SiH4. In addition to deposition of standard dielectrics (e.g. SiO2), trimethylsilane can be used to deposit reduced permittivity (low-k) dielectric versions of amorphous hydrogenated silicon carbide and its oxides. The low-k carbides (k<5.5) are highly insulating and useful as hard masks, etch stops and copper diffusion barriers. The low-k oxides (2.6<k<3.0) are useful as intermetal dielectrics, and exhibit stability and electrical properties which can meet many specifications in device fabrication that are now placed on SiO2. This paper reviews PECVD processing using trimethylsilane. Examples will show that the 3MS-based dielectrics can be used in place of SiH4-based oxides and nitrides in advanced device multilevel metal interconnection schemes to provide improved circuit performance.  相似文献   

20.
A dry plasma etching process for phosphorus silicate glass (PSG) in a SiN‐PECVD batch reactor is developed. In the same reactor PSG etching and anti‐reflective coating (ARC) can be performed successively. To demonstrate industrial feasibility, screen‐printed solar cells are manufactured and compared with cells prepared by a standard wet chemical process. Copyright © 2003 John Wiley & Sons, Ltd.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号