首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 78 毫秒
1.
VHDL语言及其支撑环境是逻辑设计自动化中的活跃领域,本文论述了VHDL语言分析器的设计原理及实现中的关键技术.按照文中的方法,已实现了基于VHDLIEEE1076标准版本的VHDL语言分析器并对其进行了一系列严格的测试,该VHDL语言分析器是北京理工大学计算机科学与工程系开发的VHDL高级综合及混合模拟系统的重要组成部分.  相似文献   

2.
用硬件描述语言VHDL设计数字系统是电子设计自动化(EDA)技术的重要内容。讨论了VHDL语言在EDA中的诸多优点,给出了使用VHDL语言编程设计数字系统的一个实例,并得出仿真的结果。  相似文献   

3.
本文介绍了当前流行的两种硬件描述语言———VHDL和VerilogHDL。给出了利用硬件描述语言进行逻辑系统设计的流程和方法,与传统设计方法进行了比较。  相似文献   

4.
高清晰度电视(HDTV)中的多电平残留边带调制(VSB)既可用模拟的方法也可用数字的方法实现。随着VLSI技术的高速发展,全数字化调制将是HDTV的最终发展方向。本文介绍了HDTV中8VSB调制器的数字化硬件实现方案,它在硬件复杂度上具有一定的优越性,该方案可以用基于VLSI技术的可编程逻辑器件来实现。  相似文献   

5.
硬件描述语言(HDL)与逻辑系统设计   总被引:1,自引:0,他引:1  
本文介绍了当前流行的两种硬件描述语言-VHDL与VerilogHDL。给出了利用硬件描述语言进行逻辑系统设计的流程和方法,与传统设计方法进行了比较。  相似文献   

6.
硬件描述语言VHDL的功能及优点   总被引:1,自引:0,他引:1  
论述了可编程 A S I C 在现代电子技术中的作用,在可编程 A S I C 设计中采用的一种方法——— V H D L 硬件描述语言及用 V H D L 实现计数器的实例  相似文献   

7.
MultiprocessingandDataflowProcessingArchitectureofaPixelProcessorandItsVHDLSimulation StrategyDONGSheqin;CHENShuang;LUJiefeng...  相似文献   

8.
EFFECTSOFDISSOLVEDMINERALSPECIESONTHESURFACECHEMICALCHARACTERISTIC,ELECTROKINETICPROPERTYANDFLOTATIONBEHAVIOROFFLUORITEANDSCH...  相似文献   

9.
CAUSTICDECOMPOSITIONOFSCHEELITEANDSCHEELITE-WOLFRAMITECONCENTRATESTHROUGHMECHANICALACTIVATIONLiHonggui;LiuMaosheng;SunPeimei;...  相似文献   

10.
PREPARATIONANDCLEAVAGEMECHANISMOFCHELATESOFMETALIONSWITHEDTALINKEDTOOLIGODEOXYRIBONUCLEOTIDES*LiXiaoru(DepartmentofChemistry,...  相似文献   

11.
从面向对象技术角度出发,论述了面向对象的VHDL语言(OO-VHDL)及其设计实现方法。分析了OO-VHDL的特点,介绍了面向对象的VHDL翻译器,总结了采用OO-VHDL进行设计具有速度快、结构清晰、可扩充性强等优点。  相似文献   

12.
硬件描述语言(VHDL)是数字系统高层设计的核心,是实现数字系统设计新方法的关键技术之一。本文介绍了硬件描述语言的功能特点,并通过彩灯控制系统的设计过程(给出了仿真结果),介绍应用硬件描述语言及自动综合系统以自顶向下的方法进行大规模数字系统设计的过程,揭示了硬件描述语言设计数字系统、逻辑综合和仿真等技术在数字系统设计中的重要地位和作用。  相似文献   

13.
介绍了标准化硬件描述语言VHDL的结构模型及其自顶向下的设计方法,并交此方法应用于数显频率计的设计中,最后将结果传统的设计进行了对比,总结出用VHDL设计的优点并对其应用前景做了展望。  相似文献   

14.
撂讨了数字模拟混合电路的VHDL建模技术与仿真分析方法,并给出了过采样∑-△ A/D转换器的建模与仿真分析实例。  相似文献   

15.
利用VHDL设计开发具有自主知识产权的IP核是设计嵌入式系统的重要设计手段,本文主要讨论的是使用VHDL设计8051的IP核.  相似文献   

16.
VHDL(超高速集成电路硬件描述语言)在电子设计领域中已得到了广泛应用.在应用VHDL语言进行电路设计的过程中,注重电路结构综合质量优化也显得日益重要.从VHDL语言描述方法、系统设计规划和逻辑函数变换的角度,分析了VHDL设计中容易引起电路复杂化的原因,并提出了相应的解决方案.  相似文献   

17.
有限状态机的VHDL语言描述   总被引:2,自引:0,他引:2       下载免费PDF全文
VHDL作为一种规范的硬件描述语言,被广泛应用于电路的设计中。本文简要介绍了用作控制的有限状态机,并给出了相应的VHDL程序。  相似文献   

18.
硬件描述语言VHDL在数字系统设计中的应用   总被引:4,自引:0,他引:4  
VHDL语言作为一种新型的硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术.文章通过四位乘法器的实例详细介绍了用VHDL语言设计数字系统的流程和方法,并通过仿真实现预定日的.结果表明,VHDL语言在数字系统设计中具有硬件描述能力强,设计方法灵活等优点,从而大大降低了数字系统设计的难度,提高了工作效率.  相似文献   

19.
VHDL数字系统设计   总被引:1,自引:0,他引:1  
介绍了硬件描述语言VHDL的结构模型及设计方法,并将此方法应用于交通灯控制器的设计中,总结出了用VHDL设计的优点并对其应用前景做了展望。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号