首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 218 毫秒
1.
波形平滑、频率稳定的正弦信号是仿真研究的重要前提。为了能够方便地产生此信号,文章提出了一种基于DDS技术的正弦信号发生器的设计方法。该方法利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的相位相差120°的三相正弦信号发生器。同时把在Matlab环境中用DSP Builder画的原理图转化为VHDL语言,然后通过信号分析在QuartusⅡ中模拟仿真,最终下载到FPGA试验箱,这样,接上示波器即可观察到三相正弦信号。文章给出了基于FPGA的三相正弦信号波形的设计方法,并经软件仿真测试验证及硬件测试,结果表明,该系统具有较高的精度和稳定性。  相似文献   

2.
《微型机与应用》2017,(4):59-62
直接频率合成技术(DDS)是无线通信中的关键技术,因应用场合及技术指标不同,DDS中的正弦波形产生模块有多种实现方法,本设计采用CORDIC算法计算波形数据,并通过预处理实现全部相位波形数据的即时计算,不占用存储资源,且可通过改变迭代次数来调节精度。所设计的DDS精度、频率、相位可调,在Altera Cyclone2中实现时,时钟频率可达172 MHz,占用1 171 LUTs。  相似文献   

3.
DDS广泛应用于电信与电子仪器领域,是实现设备全数字化的关键技术。文章设计并运用ISE10.0软件完成了三相正弦波信号、矩形波信号、调频调相信号的波形仿真,并以Xilinx的FPGA核心板SPARTAN3AN,结合高性能的MCU-ATMEGA128,完成了DDS的硬件设计及实现。仿真和实测结果表明,对于频率范围在0.1Hz到10MHz的正弦信号,输出信号的频率精度优于0.1%,频率稳定度优于10^-6,输出信号峰峰值≥20V,且相位以1°任意步进,具有电路简单、输出波形调整灵活以及性价比高等特点。  相似文献   

4.
针对直接数字频率合成器(DDS)芯片因存储空间开销大导致功耗增加,可靠性降低的问题 ,设计了一种将改进sunderland算法与QE-ROM技术相结合的一种用于直接数字频率合成器(DDS)的紧凑型16位精度正弦查找表(ROM);对所设计的正弦查表算法进行了系统级仿真与硬件描述语言(Verilog HDL)实现,并最终在FPGA上进行了整体算法功能与性能的验证;基于AD5360芯片制作了一款多通道16位输出数模转换器(DAC),并搭载降压稳压芯片LM317和LM337实现了一款可以将220V工频转换为DAC所需的±9V和3.75V的供电电源。测试结果显示,设计的正弦查找表算法在达到16位精度的同时,只占据8576bit的存储空间。所使用的正弦数据优化算法相比较传统的DDS正弦波形发生器资源节省99.2%,实现了122:1的压缩比,有效降低了DDS的芯片面积和功耗;  相似文献   

5.
该文采用DDS架构设计了可控正弦信号的产生方法。首先分析了数字可控正弦信号的基本原理;其次,采用Verilog HDL语言进行编程,在FPGA平台上进行了仿真及板级调试;最后,在示波器上得到了正确波形。该成果可用作一般信号处理过程的信号发生器,具有科学、准确、易实现,灵活及便携等优点。  相似文献   

6.
该文采用DDS架构设计了可控正弦信号的产生方法。首先分析了数字可控正弦信号的基本原理;其次,采用Verilog HDL语言进行编程,在FPGA平台上进行了仿真及板级调试;最后,在示波器上得到了正确波形。该成果可用作一般信号处理过程的信号发生器,具有科学、准确、易实现,灵活及便携等优点。  相似文献   

7.
本文设计了一款新型的简易正弦信号发生器。利用DDS合成技术,以ATmega16为控制中心,通过外部矩阵按键,可以在一定范围内产生任意频率的正弦波信号,由于DDS集成芯片产生的正弦信号幅值比较小,再利用AD827芯片把正弦波信号进行放大。该正弦信号发生器产生的信号不仅精度高,调节范围大,而且产生的波形温度,干扰少,能满足各种场合的要求。  相似文献   

8.
文中基于FPGA设计了一种新型的三相SPWM波的实时生成方法。该方法以Xilinx公司的Spartan-3E系列FP-GA芯片XC3S500E作为控制核心,结合直接数字频率合成技术(DDS),利用VHDL语言实时生成三相SPWM波形。通过三个相位互差120°的正弦调制波与一个三角载波进行比较来产生三相SPWM脉冲信号,由两者的交点来确定逆变器开关时刻,其中载波频率、载波比以及死区时间可变,使生成的三相SPWM波适应性强。通过Modesim和数字示波器验证了利用FPGA实时生成三相SPWM波的可行性,为该方法进一步应用提供了一个良好的开放平台。  相似文献   

9.
采用CORDIC算法实时计算正弦值的方法,替代传统DDS中的正弦查找表,显著节省了硬件资源,极大提高了转换速度以及DDS的频率和相位分辨率.将基于改进的并行流水结构的CORDIC算法作为IP应用于高端DDS芯片中,同时利用Matlab的M语言进行仿真与调试,可以直观地看到该改进算法的输出波形.  相似文献   

10.
一种基于FPGA的SPWM波的实时生成方法   总被引:1,自引:0,他引:1  
文中基于FPGA设计了一种新型的三相SPWM波的实时生成方法.该方法以Xilinx公司的Spartan-3E系列FPGA芯片XC3SS00E作为控制核心,结合直接数字频率合成技术(DDS),利用VHDL语言实时生成三相SPWM波形.通过三个相位互差120°的正弦调制波与一个三角载波进行比较来产生三相SPWM脉冲信号,由两者的交点来确定逆变器开关时刻,其中载波频率、载波比以及死区时间可变,使牛成的三相SPWM波适应性强.通过Modesim和数字示波器验证了利用IWGA实时生成三相SPWM波的町行性,为该方法进一步应用提供了一个良好的开放平台.  相似文献   

11.
一种DDS任意波形发生器的ROM优化方法   总被引:3,自引:1,他引:2  
提出了一种改进的基于直接频率合成技术(DDS)的任意波形发生器在现场可编程门阵列(FPGA)上的实现方法。首先将三角波、正弦波、方波和升/降锯齿波的波形数据写入片外存储器,当调用时再将相应的数据移入FPGA的片上RAM,取代分区块的将所有类型波形数据同时存储在片上RAM中的传统方法;再利用正弦波和三角波的波形在4个象限的对称性以及锯齿波的线性特性,通过硬件反相器对波形数据和寻址地址值进行处理,实现了以1/4的数据量还原出精度不变的模拟信号,从而将整体的存储量减小为原始设计方案的5%。经验证,这种改进方法正确可行,能够大大降低开发成本。  相似文献   

12.
三相SPWM波的软件生成及应用研究   总被引:1,自引:0,他引:1  
提出了一种软件生成三相SPWM波的新方法,首先,阐述了对称规则采样法和基准正弦函数法;然后,介绍了一个通用变频器的应用实例及生成三相SPWM的程序,实验结果显示,该变频器能满足实际应用的要求。  相似文献   

13.
结合三相中频大功率静变电源的设计研制过程,介绍了组合式三相正弦逆变电源的电路结构和工作原理,通过分析比较得出三相HSPWM波控制方案并详述其实现算法,提出了实现各相单独闭环控制的PI控制算法,并设计出以DSP实现三相HSPWM波控制及PI控制的软件流程;该方法节省了基准正弦波发生设计部分,由查表实现的相位差别,使相位控制精确化,并且DSP的快速性提高了闭环控制的及时性;通过实验,表明利用软件完成对组合式三相逆变器的控制是可行的.  相似文献   

14.
在电子元器件测量仪器行业中,正弦波的应用十分重要,可是设计的正弦波发生器总是不能达到理想的效果,存在高次谐波,产生叠加量,影响了测量的精度。因此本文叙述了基于PIC16F873单片机正弦波发生器的设计。  相似文献   

15.
在介绍超声波相关流量计工作原理基础上,论证了产生高精度正弦波的必要性。分析了DDS技术的工作原理及结构,最后还提出了一种应用DDS芯片AD9850和DSP芯片TMS320VC5402组成的正弦波信号、AM和FM信号发生器。通过实测数据表明,本文所讨论的方法和研制的系统是可行的、有效的。  相似文献   

16.
本文介绍了DDS的基本原理,详细介绍了一种基于FPGA的任意波形信号发生器的实现方案,设计出了一款可以同时产生了方波,三角波,正弦波和锯齿波的高精度的信号发生器。  相似文献   

17.
数字信号发生器是集成电路设计及调试过程中经常用到的工具,基于FPGA设计了一种DDS型数字信号发生器,可产生正弦波、方波、三角波和锯齿波这四种信号,并具有频率可调功能。  相似文献   

18.
FPGA实现任意波形发生器   总被引:1,自引:0,他引:1  
为研究可控频率且稳定的简单波形信号,介绍了一种利用现场可编程门阵列(FPGA)实现基于直接数字频率合成(DDS)技术的任意波形发生器(AWG)。以SEED-XDTKFPGA实验箱为系统平台,搭建任意波形发生器系统,用硬件描述语言(VerilogHDL)编程实现DDS部分。通过在ModelSim环境下仿真,得到正弦波、锯齿波和方波波形,在数字示波器上得到频率为1.5625MHz正弦波形,在信号处理中具有更好的实用价值。  相似文献   

19.
简要地介绍LabVIEW的结构和特点,并详细地介绍一种基于LabVIEW环境下自行开发的虚拟函数信号发生器。该仪器不但界面友好,而且功能强大、操作简便。经过仿真实验表明,它能够产生实验室常用的正弦波、三角波、方波、锯齿波信号,而且还可以产生白噪声及多频波,并能通过输入公式,产生测试领域的非周期特殊信号。输出波形频率范围宽,具有相关参数可调、同步显示和幅度频谱分析功能。可以完成不同环境下的测量要求。  相似文献   

20.
针对用信号发生器来检测变送器能否正常工作的需要,提出、研究并实现了一种虚拟仿真平台,该平台利用Quartus II、Matlab设计了以IP核为核心的正弦发生模块,对其输出结果进行ModelSim仿真,仿真结果表明该模块能够输出标准的模拟正弦波波形,最后通过硬件实验验证了此方案的正确性与可行性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号