首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
P-type nanocrystalline Si (p-nc-Si) films were deposited by hot-wire chemical vapor deposition (HWCVD) system using SiH4, B2H6, and H2 as reactants. The effect of H2 flow rate on the material properties of p-nc-Si films were investigated using Raman spectroscopy, X-ray diffractormeter, ultraviolet-visible-near infrared spectrophotometer, Fourier transform infrared spectroscopy, field emission scanning electron microscopy (FESEM), and transmission electron microscopy (TEM). Moreover, the electrical properties, such as carrier concentration, activation energy, dark conductivity, and Hall mobility, of p-nc-Si films were also measured. It was found that H2 flow rate played an important role in forming of p-nc-Si, decreasing the deposition rate, and increasing the crystallinity of p-nc-Si films. FESEM and TEM micrographs also showed the enhancement of crystallinity with adding H2 flow rate. Furthermore, the change of microstructure at various H2 flow rates was found to affect the electrical properties of p-nc-Si films. Details of the growth mechanism in p-nc-Si films will be discussed also. Moreover, the optimum p-nc-Si film was used as window layer in n-type crystalline Si heterojunction (HJ) solar cell. After the deposition parameters were optimized, the Si HJ solar cell with the open-circuit voltage of 0.58 V, short-circuit current density of 33.46 mA/cm2, fill factor of 64.44%, and the conversion efficiency of 12.5% could be obtained.  相似文献   

2.
Nucleation in the initial stage of hydrogenated microcrystalline silicon (μc-Si:H) film deposition by VHF inductivity-coupled plasma (ICP) has been investigated. When the SiH4 concentration (RSiH4 = [SiH4] / ([SiH4] + [H2])) is 6%, the crystallization in the initial 1.1-2.4 nm film deposition is observed at the substrate temperature of 320 °C, while it is decreased to 150 °C by reducing the RSiH4 to 3%. Furthermore, the nucleation is significantly promoted by H2 plasma pretreatment as long as 90 s prior to μc-Si:H film deposition. The crystallinity was improved from 33 to 54% and the grain density was increased from 8.0 × 1010 to 1.7 × 1011 cm− 2 by the pretreatment. We confirmed no significant change in SiO2 surface micro roughness after the H2 plasma pretreatment. The chemical bond states at the SiO2 surface before film deposition play an important role in nucleation.  相似文献   

3.
Large area (600 × 720 mm) depositions of hydrogenated microcrystalline silicon (μc-Si:H) have been achieved at high deposition rates using a scalable, multi-tile electrode topology. Depositions have shown local results of μc-Si:H deposited with SiH4 concentrations of up to 20% and at rates of up to 15 Å/s. Of particular interest for this electrode topology is the material across the inter-tile gap. Here we present measurements of the deposition uniformity across the inter-tile gap as well as the material characteristics of the layers. The behaviour of the crystalline fraction, χc is observed using Raman spectroscopy, x-ray diffractometry, and dark/light conductivity measurements. A qualitative interpretation of these results is presented, relating them to depletion of SiH4 in the plasma.  相似文献   

4.
Silicon nanowires (SiNWs) were synthesized by simultaneous evaporation of Au and Si deposition using H2 diluted SiH4. The deposition techniques combined hot-wire (HW) and plasma enhanced chemical vapor deposition (PECVD). Au wires were placed on the filament and heated simultaneously with the activation of the rf plasma for the dissociation of SiH4 and H2 gases. Five set of samples were deposited on ITO-coated glass substrate at different rf power varied from 20 to 100 W in an interval of 20 W, keeping other deposition parameters constant. High yield of SiNWs with diameter ranging from 60 to 400 nm and length about 10 μm were grown at rf power of 80 W (power density ~ 1018 mW cm−2). Rf power of 100 W (power density ~ 1273 mW cm−2) suppressed the growth of these SiNWs. The growth mechanisms of SiNWs are tentatively proposed. The nanocrystalline structure of SiNWs is confirmed by Raman spectra and HRTEM measurement.  相似文献   

5.
In this work we present an ultra-low temperature method for the oxidation of an amorphous silicon-carbide-nitride (SiCN) material. The SiCN is deposited on silicon substrates by plasma enhanced chemical vapor deposition using CH4, SiH4, and N2 chemistry. The physical and chemical properties are characterized for the as-deposited SiCN and post-oxidized films are discussed. The SiCN film is exposed to oxygen plasma, where it undergoes a chemical transformation into a binary SiO2 material system. A 1.7 nm/min oxidation rate is typical for this process and compares favorably to oxidation methods utilizing much higher temperatures. The substrate temperature remains extremely low throughout the oxidation process, Ts < 200 °C. Changes in film stress, optical constants, film thickness, surface roughness, and film density are measured. Chemical analysis by X-ray photoelectron spectroscopy is reported for both the as-deposited and oxidized film and confirms the resultant film to be the chemical equivalent of thermally grown SiO2. We discuss applications specifically targeted to the conversion of SiCN to SiO2.  相似文献   

6.
Nanocrystalline silicon thin films (nc-Si:H) were deposited using He as the dilution gas instead of H2 and the effect of the operating pressure and rf power on their characteristics was investigated. Especially, operating pressures higher than 4 Torr and a low SiH4 containing gas mixture, that is, SiH4(3 sccm)/He(500 sccm) were used to induce high pressure depletion (HPD) conditions. Increasing the operating pressure decreased the deposition rate, however at pressures higher than 6 Torr, crystallized silicon thin films could be obtained at an rf power of 100 W. The deposition of highly crystallized nc-Si:H thin film was related to the HPD conditions, where the damage is decreased through the decrease in the bombardment energy at the high pressure and the crystallization of the deposited silicon thin film is increased through the increased hydrogen content in the plasma caused by the depletion of SiH4. When the rf power was set at a fixed operating pressure of 6 Torr, HPD conditions were obtained in the rf power range from 80 to 100 W, which was high enough to dissociate SiH4 fully, but meantime low enough not to damage the surface by ion bombardment. At 6 Torr of operating pressure and 100 W of rf power, the nc-Si:H having the crystallization volume fraction of 67% could be obtained with the deposition rate of 0.28 nm/s.  相似文献   

7.
Byungwhan Kim  Dong Won Kim 《Vacuum》2004,72(4):385-392
Using a neural network, the refractive index of a film deposited in a plasma enhanced chemical vapor deposition is characterized. The deposition process was characterized by a 26-1 fractional factorial experiment. Experimental variables and ranges include 20-40 W radio frequency (RF) power, 80-160 Pa pressure, 180-260 sccm SiH4 flow rate, 1-1.4 sccm NH3 flow rate, 0-1000 sccm N2 flow rate, and 200-300°C substrate temperature. To examine the effect of the interaction between variables on the refractive index, a predictive neural network model was constructed. Prediction accuracy was optimized as a function of training factors. Model predictions were certified experimentally. Many complex interactions between the variables not reported previously were revealed. The power effect was transparent only in such plasma conditions as high SiH4 or NH3 flow rate. The temperature effect was conspicuous under high pressure. Deposition mechanisms were qualitatively estimated in conjunction with the reported linear dependency of refractive index on SiH/NH ratio.  相似文献   

8.
Silicon thin-film solar cells based on microcrystalline silicon (μc-Si:H) were prepared in a 30 × 30 cm2 plasma-enhanced chemical vapor deposition reactor using 13.56 or 40.68 MHz plasma excitation frequency. Plasma emission was recorded by optical emission spectroscopy during μc-Si:H absorber layer deposition at deposition rates between 0.5 and 2.5 nm/s. The time course of SiH? and Hβ emission indicated strong drifts in the process conditions particularly at low total gas flows. By actively controlling the SiH4 gas flow, the observed process drifts were successfully suppressed resulting in a more homogeneous i-layer crystallinity along the growth direction. In a deposition regime with efficient usage of the process gas, the μc-Si:H solar cell efficiency was enhanced from 7.9 % up to 8.8 % by applying process control.  相似文献   

9.
Silicon oxide (SiOx) thin films have been deposited at a substrate temperature of 300 °C by inductively-coupled plasma chemical vapor deposition (ICP-CVD) using N2O/SiH4 plasma. The effect of N2O/SiH4 flow ratios on SiOx film properties and silicon surface passivation were investigated. Initially, the deposition rate increased up to the N2O/SiH4 flow ratio of 2/1, and then decreased with the further increase in N2O/SiH4 flow ratio. Silicon oxide films with refractive indices of 1.47-2.64 and high optical band-gap values (>3.3 eV) were obtained by varying the nitrous oxide to silane gas ratios. The measured density of the interface states for films was found to have minimum value of 4.3 × 1011 eV−1 cm−2. The simultaneous highest τeff and lowest density of interface states indicated that the formation of hydrogen bonds at the SiOx/c-Si interface played an important role in surface passivation of p-type silicon.  相似文献   

10.
In this paper, we firstly optimized the properties of n-SiOx nanocrystalline thin film through tuning deposition parameters by plasma enhanced chemical vapor deposition, so that we can actively control the properties of materials obtained. Secondly, we proposed using n-SiOx/Al as back reflector for amorphous silicon (a-Si:H) solar cells. Compared to Al single-layer as back reflector, adding an n-SiOx layer into the back reflector could improve the solar cell performance, which not only enhances the short circuit current density by an improvement of spectral response in the wavelength range of 550-750 nm, but also improves the open circuit voltage. With an optimized n-SiOx/Al back reflector, a-Si:H solar cells with an intrinsic layer thickness of 270 nm show 13.1% enhancement in efficiency. In addition, a-Si:H/μc-Si:H tandem solar cells with n-SiOx as intermediate reflector were also researched. As a result, it evidently balanced the current matching between top and bottom cell.  相似文献   

11.
Hydrogen sulfide (H2S) was introduced into a microwave plasma chemical vapor deposition of microcrystalline diamond thin film. Secondary-ion mass spectroscopy showed that sulfur concentration was controlled from 2 × 1015 to 9 × 1017 cm− 3 by controlling the H2S/CH4 ratio, while that of hydrogen concentration was around 5 × 1020 cm− 3 and was independent of the H2S/CH4 ratio. Electrical conductance increased linearly as the S concentration increased from 2 × 1015 to 3 × 1016 cm− 3 without significant deterioration of film crystallinity, i.e., the amount of sp2 phase did not increase. Non-ohmic conduction was converted to ohmic conduction when the S concentration reached 9 × 1017 cm− 3 by increasing the H2S/CH4 ratio to 30,000 ppm. This modification was consistent to the formation of a graphitic phase by heavy S-doping, which was identified by Raman spectra and surface morphology.  相似文献   

12.
Molecular layer deposition (MLD) technique can be used for preparation of various organic-inorganic nanohybrid superlattices at a gas-phase. The MLD method is a self-controlled layer-by-layer growth process under vacuum conditions, and is perfectly compatible with the atomic layer deposition (ALD) method. In this paper, we fabricated a new type organic-inorganic nanohybrid thin film using MLD method combined with ALD. A self-assembled organic layer (SAOL) was formed at 170 °C using MLD with repeated sequential adsorptions of CC terminated alkylsilane and zirconium hydroxyl with ozone activation. A ZrO2 inorganic nanolayer was deposited at the same temperature using ALD with alternating surface-saturating reactions of Zr(OC(CH3)3)4 and H2O. The prepared SAOL-ZrO2 organic-inorganic nanohybrid films exhibited good mechanical stability, excellent insulating properties, and relatively high dielectric constant k (~ 16). They were then used as a 23 nm-thick dielectric for low voltage pentacene-based thin film transistors, which showed a maximum field effect mobility of 0.63 cm2/V s, operating at − 1 V with an on/off current ratio of ~ 103.  相似文献   

13.
Hydrogenated thin silicon nitride (SiNx:H) films were deposited by high frequency plasma enhanced chemical vapor deposition techniques at various NH3 and SiH4 gas flow ratios [R = NH3/(SiH4 + NH3)], where the flow rate of NH3 was varied by keeping the constant flow (150 sccm) of SiH4. The deposition rate of the films was found to be 7.1, 7.3, 9 and 11 Å/s for the variation of R as 0.5, 0.67, 0.75 and 0.83, respectively. The films were optically and compositionally characterized by reflectance, photoluminescence, infrared absorption and X-ray photoelectron spectroscopy. The films were amorphous in nature and the refractive indices of the films were varied between 2.46 and 1.90 by changing the gas flow ratio during the deposition. The PL peak energy was increased and the linear band tails become broad with the increase in R. The incorporation of nitrogen takes place with the increase in R.  相似文献   

14.
We grow silicon films by hot-wire/catalytic chemical vapor deposition using a new filament material: TaC-coated graphite rods. The filaments are 1.6 mm diameter rigid graphite rods with ~30 μm thick TaC coatings. Whereas heated W or Ta wire filaments are reactive and embrittle in silane (SiH4), the TaC/graphite filament is stable. After > 2 h of exposure to SiH4 gas at a range of filament temperatures, the full length of a TaC/graphite filament retains its shiny golden color with no indication of swelling or degradation. In comparison, a W wire exposed to SiH4 under the same conditions becomes swollen and discolored at the cold ends, indicating silicide formation. Scanning electron microscopy images of the filament material are nearly identical before and after SiH4 exposure at 1500-2000 °C. This temperature-independent chemical stability could enable added control of the gas phase chemistry during deposition that does not compromise the filament lifetime. The larger surface area of the 1.6 mm diameter TaC coated graphite filament (compared to the 0.5 mm W filament) allows for a ~ 2× increase in the deposition rate of Si thin films grown for photovoltaic applications.  相似文献   

15.
We deposited silicon nitride films by alternating exposures to Si2Cl6 and NH3 in a cold-wall reactor, and the growth rate and characteristics were studied with varying process temperature and reactant exposures. The physical and electrical properties of the films were also investigated in comparison with other silicon nitride films. The deposition reaction was self-limiting at process temperature of 515 and 557 °C, and the growth rates were 0.24 and 0.28 nm/cycle with Si2Cl6 exposure over 2 × 108 L. These growth rates with Si2Cl6 are higher than that with SiH2Cl2, and are obtained with reactant exposures lower than those of the SiH2Cl2 case. At process temperature of 573 °C where the wafer temperature during Si2Cl6 pulse is 513 °C, the growth rate increased with Si2Cl6 exposure owing to thermal deposition of Si2Cl6. The deposited films are nonstoichiometric SiN, and were easily oxidized by air exposure to contain 7-8 at.% of oxygen in the bulk film. The deposition by using Si2Cl6 exhibited a higher deposition rate with lower reactant exposures as compared with the deposition by using SiH2Cl2, and exhibited good physical and electrical properties that were equivalent or superior to those of the film deposited by using SiH2Cl2.  相似文献   

16.
Two series of amorphous silicon nitride layers (a-SiNx:H) were formed with Radio Frequency Chemical Vapor Deposition method (13.56 MHz) from a NH3/SiH4 gas mixture: the first one on Si (001) and the second on glass. The deposition process was repeated at various [NH3]/[SiH4] ratios, while the other parameters (pressure, plasma generator power, substrate temperature, total gas flow, and time) were kept constant. It has been confirmed in optical measurements that the refractive indexes decrease for the layers obtained at increasing [NH3]/[SiH4] ratios. Simultaneously, the position of the band assigned to Si-H stretching vibrations (at about 2100 cm− 1) shifts towards higher frequencies. The observed dependencies were applied in evaluation of nitrogen and hydrogen contents in the respective layers. It has been shown that when [NH3]/[SiH4] increases from 0 (no silane flow) to 0.2 then the a-SiNx:H layers of x = [N]/[Si] increasing between 0 and nearly 1.4 may be obtained. The obtained layers have the refractive indexes higher than 2.1 and lower than 2.7 which make them good materials for antireflective coatings on crystalline and multicrystalline silicon solar cells.  相似文献   

17.
Jhantu K. Saha 《Thin solid films》2007,515(9):4098-4104
The plasma parameter for fast deposition of highly crystallized microcrystalline silicon (μc-Si) films with low defect density is presented using the high-density and low-temperature microwave plasma (MWP) of a SiH4-H2 mixture. A very high deposition rate of ∼ 65 Å/s has been achieved at SiH4 concentration of 67% diluted in H2 with high Raman crystallinity Ic / Iα > 3 and low defect density of 1-2 × 1016 cm− 3 by adjusting the plasma condition. Contrary to the conventional rf plasma, the defect density of the μc-Si films strongly depend on substrate temperature Ts and it increased with increasing Ts despite Ts below 300 °C, suggesting that the real surface temperature at the growing surface was higher than the monitored value. The sufficient supply of deposition precursors such as SiH3 at the growth surface under an appropriate ion bombardment was effective for the fast deposition of highly crystallized μc-Si films as well as the suppression of the incubation and transition layers at the initial growth stage.  相似文献   

18.
In this work, Silicon Carbon Nitride (Si-C-N) thin films were deposited by Hot Wire Chemical Vapour Deposition (HWCVD) technique from a gas mixture of silane (SiH4), methane (CH4) and nitrogen (N2). Six sets of Si-C-N thin films were produced and studied. The component gas flow rate ratio (SiH4:CH4:N2) was kept constant for all film samples. The total gas flow-rate (SiH4 + CH4 + N2) was changed for each set of films resulting in different total gas pressure which represented the deposition pressure for each of these films ranging from 40 to 100 Pa. The effects of deposition pressure on the chemical bonding, elemental composition and optical properties of the Si-C-N were studied using Fourier transform infrared (FTIR) spectroscopy, Auger Electron Spectroscopy (AES) and optical transmission spectroscopy respectively. This work shows that the films are silicon rich and multi-phase in structure showing significant presence of hydrogenated amorphous silicon (a-Si:H) phase, amorphous silicon carbide (a-SiC), and amorphous silicon nitride (a-SiN) phases with Si-C being the most dominant. Below 85 Pa, carbon content is low, and the films are more a-Si:H like. At 85 Pa and above, the films become more Si-C like as carbon content is much higher and carbon incorporation influences the optical properties of the films. The properties clearly indicated that the films underwent a transition between two dominant phases and were dependent on pressure.  相似文献   

19.
Jinsu Yoo 《Thin solid films》2007,515(19):7611-7614
Hydrogenated films of silicon nitride (SiNx:H) is commonly used as an antireflection coating as well as passivation layer in crystalline silicon solar cell. SiNx:H films deposited at different conditions in Plasma Enhanced Chemical Vapor Deposition (PECVD) reactor were investigated by varying annealing condition in infrared (IR) heated belt furnace to find the optimized condition for the application in silicon solar cells. By varying the gases ratio (R = NH3/SiH4 + NH3) during deposition, the SiNx:H films of refractive indices 1.85-2.45 were obtained. Despite the poor deposition rate, the silicon wafer with SiNx:H film deposited at 450 °C showed the best effective minority carrier lifetime. The film deposited with the gases ratio of 0.57 shows the best peak of carrier lifetime at the annealing temperature of 800 °C. The single crystalline silicon solar cells fabricated in conventional industrial production line applying the optimized film deposition and annealing conditions on large area substrates (125 mm × 125 mm) were found to have the conversion efficiencies as high as 17.05 %. Low cost and high efficiency single crystalline silicon solar cells fabrication sequence employed in this study has also been reported in this paper.  相似文献   

20.
In this work, Y2O3 was evaluated as a gate insulator for thin film transistors fabricated using an amorphous InGaZnO4 (a-IGZO) active layer. The properties of Y2O3 were examined as a function of various processing parameters including plasma power, chamber gas conditions, and working pressure. The leakage current density for the Y2O3 film prepared under the optimum conditions was observed to be ~ 3.5 × 10− 9 A/cm2 at an electric field of 1 MV/cm. The RMS roughness of the Y2O3 film was improved from 1.6 nm to 0.8 nm by employing an ALD (Atomic Layer Deposition) HfO2 underlayer. Using the optimized Y2O3 deposition conditions, thin film transistors (TFTs) were fabricated on a glass substrate. The important TFT device parameters of the on/off current ratio, sub-threshold swing, threshold voltage, and electric field mobility were measured to be 7.0 × 107, 0.18 V/dec, 1.1 V, and 3.3 cm2/Vs, respectively. The stacked insulator consisting of Y2O3/HfO2 was highly effective in enhancing the device properties.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号