首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
简单介绍了I2C总线规范和提出一种基于FAGA的I2C总线接口协议的设计方法,并给出了仿真结果。  相似文献   

2.
龙珂  王用 《通讯世界》2016,(6):78-78
随着我国经济飞速发展,国民生活水平日渐提升,对通讯提出了更高要求,原有通讯系统已经无法满足当代社会发展趋势。在FPGA当中,采取VHDL语言编写一个标准化的I~2C接口模块,能够为系统内部器件之间的相互联系提供一定支持,从而为用户提供更加优质的通讯服务。本文将初步了解I~2C总线概念后,探讨其在FPGA系统当中的应用。  相似文献   

3.
I2C总线是一种简单的双向二线制串行通信总线.在此对传统的I2C总线控制器进行改进,对I2C总线读写状态进行了优化,该方法采用移位寄存器计数来控制状态转移,利用移位寄存器结构灵活的特点达到简化状态,优化状态机性能的目的.最后采用Verilog HDL语言的行为描述,并给出系统仿真波形,仿真结果表明,设计芯片的功耗大约降低10%,面积减少25%.所设计的总线接口良好,符合I2C通信标准,实现了I2C的总线的数据通信.  相似文献   

4.
钟小敏  王小峰 《现代导航》2016,7(4):291-294
本文简单介绍了I2C总线的基本原理及数据传输协议规范,提出了一种I2C总线接口协议的FPGA设计方法,结合卫星导航终端设备,给出了试验结果,实际应用效果表明,本设计方法合理有效,满足卫星导航终端设备热启动需求。  相似文献   

5.
在电路设计中,I2C总线是比较常用的两线式串行通信方式,大多数的CPU都擅长于并口操作,不具备直接操作I2C总线接口的能力。为了使不具备I2C总线接口能力的CPU通过对并口的简单操作实现对I2C总线接口的控制,在分析I2C总线常用工作模式的基础上,设计实现工作于主机模式的,以CPLD完成I2C总线开始信号、结束信号的输出,以及并行数据到I2C总线模式串行数据转换或I2C模式串行数据到并行数据转换的I2C接口模块。采用该模块,可以使不具备I2C总线接口的CPU通过并口方便地控制I2C总线设备,简化系统程序设计。  相似文献   

6.
简述了I2C总线协议,重点介绍了基于现场可编程门阵列(FPGA)的I2C总线接口的系统结构及实现方法.  相似文献   

7.
用汇编实现I~2C BUS总线协议   总被引:1,自引:0,他引:1  
本文简要介绍了I~2C串行总线结构和特点,并结合其在单片机(GMS97C2051)上的应用,以24LC01B EEPROM为例,给出了用汇编语言实现该协议的程序。  相似文献   

8.
提出一种利用现场可编程门阵列FPGA来实现I^2C接口功能的方法。该方法解决了定制电路的不足,有效降低了系统的功耗,提高了设计的稳定性、可靠性以及灵活性,并减小了系统PCB板面积。  相似文献   

9.
王前  吴淑泉等 《微电子技术》2002,30(3):21-23,26
本文简述了ⅡC总线协议,重点介绍了基于现场可编程门阵列(FPGA)的ⅡC总线接口的系统结构实现方法。  相似文献   

10.
阮园  李静 《电子与封装》2008,8(8):31-34
I^2C总线由飞利浦公司在20世纪80年代早期提出,最初目的是为了能提供一个简单的方法使CPU和电视中的多种芯片相连接。今天,I^2C总线已被应用于视听设备以外的多种领域,它已作为技术规范在工业中被广泛采用。I^2C总线物理结构为两根信号线和地线,信号线称为SDA和SCL,都是双向的。SDA是串行数据线,SCL是串行时钟线。文章介绍了I^2C总线的硬件结构、I^2C总线的数据传送协议、总线接口的逻辑分析和总线器件Verilog仿真应用等方面。  相似文献   

11.
以标准的I2C总线协议为基础,基于FPGA实现一个SLAVE模式的模块通过有限状态机。本文主要介绍了SLAVE模式的特点。给出了设计的原理框图和modelsim下的行为仿真时序图。  相似文献   

12.
本文提出了CAN总线接口的FPGA设计方法,设计了CAN接口功能模块来完成CPU与SJA1000之间的访问时序转换.设计了模块状态机,运用Verilog语言编程,并进行了模拟仿真验证.  相似文献   

13.
本文介绍了I2C总线的工作过程,使用图形化设计工具,采用HDL-Verilog高级硬件描述语言按照自顶向下的设计方法完成了I2C从器件模式的IP核设计。通过特殊的设计思路,可实现高速数据传输。对此IP核用FPGA进行了验证,最终把它作为一个独立IP成功的应用于ASIC芯片设计中。  相似文献   

14.
柯昆  杨奇 《光通信研究》2015,41(1):35-38
为了评估光收发模块I2C通信的鲁棒性,设计了I2C总线极限测试控制器。根据I2C通信协议,设计超出极限一定范围的参数,在CPLD(复杂可编程逻辑器件)上通过Verilog HDL设计该控制器,主要实现频率测试和线路特性测试两大类功能,通过软件仿真和硬件实现验证了设计的正确性。该控制器也可以实现对其他种类I2C从器件的测试,只需更改设备地址、偏移地址等相关参数即可。  相似文献   

15.
CRC的FPGA设计与实现   总被引:1,自引:0,他引:1  
面对通信系统设计中经常使用到的CRC校验,以CRC-CCITT权式为例,在分析了CRC原理的基础上给出了串行CRC-CCITT校验码产生和校验器的实现电路。整个电路最终在FPGA上得到了很好的实现。  相似文献   

16.
针对传统IIC总线接口的FPGA设计可重用性不高的问题,提出了一种基于FPGA的可配置IIC总线接口设计方案。该方案采用同步有限状态机设计方法和硬件描述语言Verilog HDL, 对IIC总线的数据传输时序进行模块化设计,采用Signal Tap II对设计模块进行仿真验证。实验结果表明,该设计接口作为一种主控制器接口,可实现与具有IIC总线接口的从机器件100kb/s和400kb/s的可靠数据传输。该方案具有可重用度高、可配置性强、控制灵活等优点,并已成功运用于工程实践中。  相似文献   

17.
徐博  冀威 《电子世界》2014,(9):96-97
PID控制器作为一种传统的控制方法在现代工业现场应用仍然非常广泛,实际工程中使用的PID控制系统多为基于单片机技术的软件实现,其实时性与抗干扰性能并不理想。本文采用现场可编程逻辑门阵列(FPGA)来设计一款硬件PID控制器,很好地克服了软件实现方案的上述缺点。实际运行结果表明,采用该方法可明显改善效果,在简化设计的同时可以提高系统的实时性和抗干扰性。  相似文献   

18.
在视频采集时,摄像机输出的模拟信号需要转换为数字信号,SAA7113芯片可以实现这种模/数转换功能.介绍在开发FPGA图像采集功能时,I2C总线模块的设计思路,并利用Verilog HDL语言实现I2C总线接口的功能;同时利用I2C总线对SAA7113芯片进行配置,为实现视频图像的模/数转换和图像采集搭建环境,在设计SAA7113模块状态机时有意缩小SAA7113寄存器的配置范围,从而节省配置时间.  相似文献   

19.
基于40 nm CMOS工艺设计了一款I2C接口模块,该模块用于全数字锁相环(ADPLL)的测试与应用场景,能够输出锁相环控制字或将控制字写入锁相环内部。按照ADPLL的功能需求将接口划分为系统模块,根据ADPLL的系统特点设计了对应的时序控制模块,实现了控制字数据的读写功能。通过Verilog HDL对系统完成行为级描述,利用脚本自动化设计,能够大幅节省设计时间,易于集成到系统中。实际测试结果表明,该I2C接口模块能够对ADPLL相应控制端写入控制字,依照I2C串行总线协议与外部微控制器通信,可同时实现对ADPLL控制和监测的功能,满足测试与应用需求。  相似文献   

20.
基于NIOS的I2C总线接口芯片AT24C16读写的实现   总被引:2,自引:0,他引:2  
李渔 《信息技术》2006,30(2):99-102
简要介绍了I^2C总线的基本结构和规范、I^2C总线接口芯片的基本工作原理、NIOS自带的PIO模块寄存器的读写原理和该PIO模块所使用的相应软件接口子程序,着重介绍了在NIOS上实现对12C接口芯片AT24C16读写时序的模拟和程序的编写实现。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号