首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 78 毫秒
1.
《现代电子技术》2014,(9):80-82
数字通信系统中的数字调制与解调技术包括幅度键控(ASK)、频移键控(FSK)和相移键控(PSK),而FSK是应用较广的一种调制与解调方式。利用VHDL语言设计了2FSK调制解调器,并通过QuartusⅡ仿真平台进行仿真验证,最后下载到FPGA芯片EP1K30QC208-2实现了2FSK调制解调电路。仿真及实验结果表明采用此设计方案是可行的,并具有速度快、可靠性高及易于大规模集成的优点。  相似文献   

2.
何维  张超 《电视技术》2015,39(9):108-112
针对频移键控在通信系统应用中其调制解调技术在一定程度上直接影响通信系统的性能,提出了一种FSK数字解调方法,称作反正切差分解调.该方法基于正交反正切算法,正交信号直接相除消除了幅度调制成分.新的方法适用于窄带和宽带的FSK信号并适合于数字接收机.仿真结果表明,该技术能有效解调FSK信号,并适合于多种制式的FSK信号.  相似文献   

3.
刘艳 《电子世界》2014,(3):75-75
本论文通过MATLAB仿真设计程序,实现信号的2PSK调制解调的程序仿真。误码率是衡量一个数字通信系统性能的重要指标,预测对比各个解调方式的误码率结果,包括相干ASK、非相干ASK、相干FSK以及非相干FSK与PSK,结果表明在抗加性高斯白噪声方面,2PSK性能最好,2FSK次之,2ASK最差。  相似文献   

4.
根据FSK调制和解调的原理,设计了一种基于FPGA芯片的FSK调制解调器。本系统采用频率键控法设计调制模块,利用过零检测法实现解调模块。测试结果表明:fsk解调信号波形和输入基带信号波形一致,满足系统的设计要求,整个系统具有较高稳定性。  相似文献   

5.
权循忠 《电子测试》2013,(5S):15-16
构建基于MATLAB/Simulink的2FSK调制解调仿真系统;分析混沌信号的特征机理,针对混沌正弦波信号调制与解调,使其运行的仿真波形与随机正弦波一致,验证此仿真系统针对混沌信号的正确性。  相似文献   

6.
SystemView是一种适用于通信系统设计与仿真分析的软件工具,可以对通信系统的工作过程进行实时仿真分析。本文采用SystemView构建2FSK调制解调仿真系统。通过使用SystemView分析窗口观察信号的波形和频谱,我们能直接观测到信号在传输过程中的波形和频谱的变化,这有助于我们更深刻地理解2FSK调制解调系统的工作原理和各个设备的功能。  相似文献   

7.
张新伟  郑建宏 《通信技术》2007,40(11):78-80
文中首先介绍调制、解调的原理,以及FSK信号的过零检测法,并对MAXPLUS2软件进行简单介绍,然后重点介绍FSK解调器的实现方法,最后通过MAXPLUS2对代码进行仿真,并实现对FSK信号的解调。  相似文献   

8.
一种新型的FSK解调系统设计   总被引:1,自引:0,他引:1  
二进制FSK是数字通信中应用较广的一种调制方式.传统的解调方法在抗噪声性能和运算复杂度之间存在矛盾.文中针对2FSK解调中这个问题,在分析传统方法的基础上提出了一种改进的正交差分解调算法和基于滑动积分的联合定时算法.仿真表明,提出的解调算法具有更好的灵活性,定时算法在性能和实现复杂度上取得了较好的折衷,解调性能良好.  相似文献   

9.
用SDFT实现FSK调制信号的数字解调方法   总被引:1,自引:0,他引:1  
提出了一种新的FSK调制信号数字化解调的方法,该方法以移位离散傅立叶变换SDFT(Shifted Discrete Fourier Transforms)为基础。文中给出了一个8FSK信号进行数字化解调的仿真结果,计算机仿真结果表明,该方法能在较低信噪比(SNR)和较大多普勒频移条件下解调FSK信号,并具有较好的解调性能。  相似文献   

10.
用MATLAB与Quartus Ⅱ对FSK信号在FPGA中的设计与仿真进行研究,分析FSK信号在不同调制度下时域波形、频谱结构及其抗干扰性,并给出对应图表、代码;做出相应分析。完成数字FSK调制—解调系统的计算机端仿真设计。  相似文献   

11.
王心水 《电子技术》2010,47(9):67-69
针对OQPSK(偏移正交相移键控)调制原理,提出了在’C54系列DSP处理器上实现OQPSK调制器的一种新方案,给出了其在CCS(C5000)开发环境下的仿真波形并在硬件平台上进行了实验验证。实验表明,所设计的调制器具有体积小、功耗低及稳定可靠等优点。  相似文献   

12.
针对海洋石油勘探的需求,设计了电缆定深装置,其采用了基于FSK的感应通信系统。系统地介绍了感通信的发展状况及其基本原理,重点阐述FSK调制解调模块所选用的XR2206和XR2211芯片。感应通信作为水下非触通信方式之一,以其功耗低、尺寸小、制作简单等特点必将得到越来越广泛的应用。  相似文献   

13.
骆志辉  严权峰  聂江  熊斌 《电子技术》2010,37(10):80-81
在窄带高噪声的无线信道环境下,保证客户端视频的视觉质量是无线视频通信的难点。这里,系统融合数字水印、视觉中心滤波与视觉质量优先的视频差错控制技术,设计了一套针对无线信道环境的实时视频通信系统,并对系统的核心部分在算法与实现上进行了优化处理。系统运行表明,该系统能在移动终端上获得稳定、流畅的视频播放效果。  相似文献   

14.
仿射变换在PCB在线检测中解决了因成像系统或板翘曲引起的误差对检测结果的影响,提高了检测系统的稳定性和可靠性。在PCB孔径孔数检查系统中,标准图像和待测图像采用基于特征点的配准,以图像上对应坐标点为特征点,建立仿射变换模型,求出两幅图像特征点之间的位置关系,实现图像间的配准。通过该算法在爱思达PCB孔径孔数检查机系统中的应用,提高了系统对成像误差和板翘曲的检查能力,实现了该种设备的重大突破。  相似文献   

15.
曹辉 《电子技术》2010,37(11):54-56
随着通信技术的发展,无线通信网络在工业数据传输中的应用日益增多。目前在工业数据传输领域大多采用有线的通信方式进行,虽然经济实用,但在很大程度上限制了应用场合的拓展。GPRS网络具有网络覆盖率高、永远在线等优势,使远距离的数据传输实现更灵活方便,已广泛应用于电力、铁路、石油等行业,这必将成为工业控制及远程监测等领域的发展趋势。本文阐述了GPRS技术在中波台远程监控系统的设计,实现了数据中心与传输终端的数据透明传输。  相似文献   

16.
基于XML自动评阅系统的设计与实现   总被引:1,自引:1,他引:0  
本文针对面向大面积学生实验操作考试和阅卷的困难,提出了基于XML形式对试题知识考点进行描述的设计思想,通过对操作考试中记录的实验参数、实验状态和实验结果的XML数据进行解析评判,实现对学生实验操作考核自动评阅。在面向大面积学生的实验操作考试中,节省了大量人力、物力,具有很强的实用性。本文以大学物理仿真实验考试系统为例,实现了基于XML描述方案的实验考核自动评阅系统。  相似文献   

17.
考虑一对多天线的信源信宿通过一个单天线的放大前传(AF)中继辅助通信的两跳半双工无线网络。假设通信终端完全获知信道状态信息(CSI)。该文提出了该中继系统的最优波束成型方案,然后对采用此方案时的系统接收信噪比作高SNR下的统计特性分析,推导出瑞利平衰落信道下系统的遍历容量上界和平均误符号率(ASEP)的闭合表达式,并得出相应的分集度和阵列增益。理论分析结果显示了系统性能与天线数和发射功率的确切关系,并且在高SNR时不同的调制方式不影响分集度,只改变阵列增益。蒙特卡罗(Monte Carlo)数值仿真结果验证了理论分析的正确性。  相似文献   

18.
张喆元  朱劲 《电子技术》2010,47(6):19-21
本文的背景是基于MEMS技术的加速度传感芯片的伺服电路,是法国原子能署--电子与信息技术实验室项目的组成部分。文中提出了一种新型数字锁相环的模型并对其进行了硬件实现。该数字锁相环的功能是:紧跟角速度传感装置输出信号的相位,输出与其严格同相位的正弦以及其正交信号。利用这对信号既可以反馈回来激励传感装置,也可对输出相位进行调制和解调。仿真以及硬件验证的结果表明,此数字锁相环的性能良好,能够快速地跟上输入相位,输出信号信噪比高,对硬件的计算能力要求低。  相似文献   

19.
并联冗余是应用于风光互补独立供电系统的DC-DC变换器满足高可靠性要求的关键,而均流技术是并联冗余的关键,现有的均流方法无法同时满足并联冗余和蓄电池充电要求。本文在分析并联DC-DC模块蓄电池充电特性的基础上提出了一种实现并联DC-DC变换器瞬时均流的新控制方法。该方法基于平均电流法原理,通过单片机A/D口检测蓄电池充电电压和各并联DC-DC模块充电电流,判断蓄电池状态,自适应调整各模块PWM信号占空比实现均流和充电控制。文中根据理论模型进行了仿真,并构建实际系统进行了实验,仿真和实验结果表明,此方法能够同时满足DC-DC变换器并联冗余和蓄电池三段式充电的要求,验证了方法的有效性。  相似文献   

20.
本文设计了一个用在ADC(ADC)中的3阶8级量化的delta-sigma调制器(DSM)。该调制器的过采样率128,信号带宽32.8 kHz,分辨率16位。在设计噪声传输函数(NTF)时采用前馈方式实现极点和局部反馈实现零点,从而优化了输出信噪比,通过这些方法提高动态范围(DR),降低量化噪声。这个DSM的峰值信噪比可以达到145dB以上。最后本文给出了这个DSM的MATLAB仿真模型及仿真结果,在此模型基础上编写电路模块verilog程序及进行行为级建模。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号