首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 46 毫秒
1.
CDMA手机卷积码编码器的设计与FPGA的实现   总被引:2,自引:0,他引:2  
阐述了卷积编码的原理和CDMA手机卷积码编码器。在MAX PLUS2软件平台上,给出了利用现场可编程门阵列器件设计的卷积码编码器电路,并进行了编译和波形仿真。综合后下载到可编程器件EPF10K10LC84—3中,测试结果表明,达到了预期的设计要求。  相似文献   

2.
为了实现幅值在一定范围连续可调,频率范围在1~100kHz、频率步进达到1Hz以下的信号发生器的设计,采用了单片机和EDA相结合的技术。在使用EDA技术中,采用现场可编程门阵列FPGA,使用MAX PLUSⅡ10.0软件。基于VHDL语言和原理图相结合的形式,实现了直接数字频率合成(即DDS)控制技术。基于FPGA的高密度、高速度、现场可编程的能力实现的DDS控制电路,容易满足设计要求,并具有易于调试、修改方便、频率稳定性高等优点。由此组成的DDS与强大的数据处理功能的单片机相结合,可以方便、灵活和准确地实现正弦波、方波、和三角波信号发生器。  相似文献   

3.
用FPGA/CPLD实现EDA设计   总被引:1,自引:0,他引:1  
随着微电子技术的发展,FPGA(现场可编程逻辑门阵列)和CPLD(可编程逻辑器件)在EDA设计中有了很大的发展,系统设计师们自己能设计专用集成电路(ASIC)芯片,大大缩短ASIC的设计周期。作者总结了FPGA、CPLD的特点以及区别,对它们的设计流程进行了介绍,同时分析了FPGA、CPLD在信号处理中心优越性和良好的发展前景。  相似文献   

4.
为了实现幅值在一定范围连续可调,频率范围在1~100kHz、频率步进达到1Hz以下的信号发生器的设计,采用了单片机和EDA相结合的技术。在使用EDA技术中,采用现场可编程门阵列FPGA,使用 MAX+PLUSⅡ10.0软件,基于VHDL语言和原理图相结合的形式,实现了直接数字频率合成(即DDS)控制技术。基于FPGA的高密度、高速度、现场可编程的能力实现的DDS控制电路,容易满足设计要求,并具有易于调试、修改方便、频率稳定性高等优点。由此组成的DDS与强大的数据处理功能的单片机相结合,可以方便、灵活和准确地实现正弦波、方波、和三角波信号发生器。  相似文献   

5.
用FPGA/CPLD实现EDA设计   总被引:1,自引:0,他引:1  
随着微电子技术的发展,FPGA(现场可编程逻辑门阵列)和CPLD(可编程逻辑器件)在EDA设计中有了很大的发展,系统设计师们自己能设计专用集成电路(ASIC)芯片,大大缩短ASIC的设计周期。作者总结了FPGA、CPLD的特点以及区别,对它们的设计流程进行了介绍,同时分析了FPGA、CPLD在信号处理中心优越性和良好的发展前景。  相似文献   

6.
本文主要研究RS时域编码器。首先分析了有限域下的RS码编码理论,并侧重于实现常系数并行乘法器。文中使用Verilog HDL语言的RS(255,239)编码器的设计方法,并搭建了验证平台,使用QuartusII验证功能和时序的正确性。最后,使用Modelsim仿真出结果,与Matlab仿真计算的结果一致。结果表明,编码器性能良好,与现有的设计相比,速度快和占用的硬件资源少。  相似文献   

7.
根据组合交织器的设计思想,提出一种新的组合交织方案,即隔行写入分组螺旋式对称交织方案,给出了利用现场可编程门阵列(FPGA)设计实现这种组合交织器的方法,在MAX+PLUSⅡ软件开发环境下仿真的结果表明,设计的组合交织器具有误码率低、处理速度快、易于修改等优点,具有较高的实用性.  相似文献   

8.
同步动态随机存储器(SDRAM)具有高速,大容量,价格低廉等优点,因而成为缓冲存储器的首选,但是SDRAM控制时序比较复杂,不能与DSP直接接口,这极大地限制了它的广泛应用。为了满足电力系统运行对故障数据的精度和实时要求,本文作者基于FPGA提出了一种简单易用的方案,用VHDL语言实现TMS320VC3X与SDRAM的接口。  相似文献   

9.
基于FPGA的数字信号传输性能分析仪的设计与实现   总被引:1,自引:1,他引:0  
系统采用ALTERA公司生产的EP3C25Q240C8现场可编程门阵列作为控制核心,以PS2键盘输入曼彻斯特编码时钟频率和改进型计数方式,实现了数据率10~1×106b/s的连续可调.此外,在数字信号分析部分实现了曼彻斯特编码同步时钟信号的提取,实时刷新所提取的同步时钟信号可以用来触发叠加噪音后的曼彻斯特编码.眼图显示采用数字示波器,并可通过观察眼图来分析信道传输性能.经测试表明,系统能准确地显示眼图.  相似文献   

10.
介绍了一种基于FPGA芯片的微处理器系统,阐述了系统的组成与设计原理,给出了主要的仿真结果,该系统用VHDL语言设计,具有多种指令,可实现四位操作数的各种运算,可用于片上系统的控制模块,充分展示了FPGA的强大功能和优越特性。  相似文献   

11.
空间数据系统咨询委员会标准推荐了可应用于深空通信的准循环低密度校验码.但为了在同一系统实现码率可选择LDPC编码器,并解决低速率串行编码问题,该文设计并实现了多码率并行LDPC编码器的FPGA方案.根据该码的结构,设计了改进的串行和并行转换模块来保证正确地编码,并合理安排生成矩阵的存储结构和数量,以便编码通过简单的地址控制完成多码率选择编码.经分析和验证,该编码器在不增加单一码率编码资源前提下,能分别按所选码率完成不同码率编码.  相似文献   

12.
本文分析介绍了几种基本乘法器的原理,它的实现基础是1-digit×1-digit乘法和多操作数加法。大多数FPGA系列包括快速实现和成本效益好的乘法器的基本元件。通过硬件描述语言分别对几种乘法器进行了FPGA设计与实现,最后从运算速度、所占用逻辑资源以及操作数长度等方面对乘法器的性能进行了分析和比较。  相似文献   

13.
乘法器是数字信号处理领域的基本逻辑部件,应用广泛。用Verilog硬件描述语言设计了加法树乘法器、查找表乘法器和Booth乘法器,在Modelsim软件环境下进行了仿真,在QuartusII开发平台上基于Stratix器件对这三种方案进行了综合验证,并对结果进行了分析和比较。  相似文献   

14.
针对目前利用图像的方法来分析精密光栅尺位移采集速度不高的问题,设计了一种以现场可编程门阵列(Field Programmable Gate Array, FPGA)为主控器件对光栅尺进行图像编解码和预处理的系统.系统主要包括SDRAM控制模块、CMOS传感器驱动模块、VGA显示模块以及图像算法模块.整个模块以Altera公司的Cyclone IV系列EP4CE10E22C8N作为主控芯片,Quartus II 15.0软件为开发平台,并经过反复测试实现各个模块功能,最终通过VGA实时显示预处理后的图像,为下一步DSP实现光栅尺位移的测量提供了可靠的预处理数据.  相似文献   

15.
AWG在数字通信系统中的地位非常重要,其应用包括调制解调、上下变频、软件无线电等.提出了利用FPGA芯片,采用直接数字频率合成技术,完成对AWG的设计与实现.在架构设计上,采用自上而下的模块化设计方法,系统架构清晰,并具有较好的可移植性和重用性.经过设计和仿真测试,波形输出达到了技术要求.系统具有控制灵活、高速、性能良好、资源利用率低等优点.  相似文献   

16.
基于FPGA技术和微程序设计思想,采用独立加法器结构和简单的逻辑门电路,设计实现一个8位串行ALU,并采用Verilog语言编写微程序作为控制器,发布微指令控制ALU的微操作,验证ALU的功能.以原理图的形式描述电路的结构及工作原理,介绍了ALU的设计及实现方法,给出了仿真波形图,结合测试文件对ALU进行验证.仿真测试结果表明,设计的ALU能够实现预期功能,完成加减法、与、或、非等算术和逻辑运算.  相似文献   

17.
提出了一种基于FPGA的UART的实现方法.利用有限状态机和硬件描述语言VHDL实现了通用异步收发器UART IP核的设计,给出了用VHDL实现UART的数学模型,并进行了仿真分析.结果表明,各项通信指标均满足要求,并可提高系统的可靠性和稳定性.  相似文献   

18.
针对现行电子钟设计方案实现起来相对复杂、误差偏大等弊端,对以FPGA为核心器件的电子钟方案进行了实验研究,利用EDA技术自顶向下的设计方法,设计电子钟各模块及相应具体电路,通过编译、仿真,并下载到FPGA实验平台进行测试,运行结果表明:系统能以较小的误差显示时、分、秒,并且当走时不准时,可以通过相应设置键实现校时。  相似文献   

19.
该文主要研究了四相相移键控调制解调器的实现。通过对四相相移键控解调系统的载波同步、位同步和相位模糊纠正模块设计,提出了一种现场可编程门阵列硬件可实现的四相相移键控调制解调系统设计方案,并进行了KC705-FPGA实验板下载测试。实验结果表明,该方案性能达到预期目标,能实现原始基带调制信号的准确还原。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号