首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Liang X  Zhang W  Li M  Xia Q  Wu W  Ge H  Huang X  Chou SY 《Nano letters》2005,5(3):527-530
We present and demonstrate a novel imprint method, electrostatic force-assisted nanoimprint lithography (EFAN), where a voltage applied between a mold and a substrate generates an electrostatic force that presses the mold into a resist on the substrate. We have successfully used EFAN to pattern nanostructures in a photocurable resist spin-coated on a wafer, with high fidelity and excellent uniformity over the entire substrate, in ambient atmosphere without using a vacuum chamber. In initial tests without any process optimization, 100 nm half-pitch gratings with a residual layer thickness of 22+/-5 nm were imprinted across a 100 mm diameter wafer in about 2 s. Furthermore, numerical calculations show that the field magnitude experienced by the dielectric layers on the substrate is much less than their breakdown limit. Hence, EFAN is well suited for step-and-repeat nanoimprint lithography, and its simple operation can simplify and speed up multilayer alignment process.  相似文献   

2.
This study demonstrates a reliable process for the direct nanoimprinting of a flexible polycarbonate (PC) sheet using a perfluoropolyether (PFPE) mold. PC is a commonly used flexible substrate with optical transparency, low thermal expansion coefficient, high mechanical strength, and excellent deformation resistivity. The imprint performances of PFPE, hard/soft‐polydimethylsiloxane, and silicon molds are compared. Given that the heating temperature is near the glass transition temperature (≈153 °C) of PC, only PFPE mold can be fully patterned into PC substrate with viable integrity. The mechanical property and gas permeability of the materials are investigated to determine the mechanism of the flexible PFPE mold, which performs better than a rigid silicon mold. Nanoimprint process using a PFPE mold is performed at 153 °C and 5 bars. The lower imprint temperature or imprint pressure of the proposed process compared with those from previous studies is favorable in nanoimprinting. Finally, nanoroughness‐on‐nanopillar hierarchical surfaces, which possess superhydrophobic slippery characteristics superior to those of nanoroughness‐only surfaces, are obtained by treating PC nanopillar arrays imprinted by PFPE mold with C4F8 plasma.  相似文献   

3.
Electrostatic imprinting is a highly suitable process for patterning large area and high efficiency glasses because it enables glass patterning at low temperatures with low pressures. Because high DC voltage bias is applied to the mold and glass during the thermal imprinting, the mold materials should have electrical conductivity, appropriate glass adhesion properties, and excellent thermal and electrochemical stability. In this study, thin Pt/Ni molds were fabricated via Si micromachining and electroforming techniques and were then used in the electrostatic imprint process in order to evaluate their feasibility as molds. Under the investigated process conditions, the pattern transfer to glass was accomplished without noticeable degradation of the mold. Furthermore, the process parameter effects on replication fidelity and potential defects were investigated.  相似文献   

4.
To acquire the uniform resist patterns in thermal nanoimprint lithography (TH-NIL), the major considerations include control of the resist, stamp and substrate resist under the imprint condition. Examples of these factors are management of the imprinting pressure, imprinting temperature and releasing temperature. Non-uniform patterns of thermal imprinted resist appear after TH-NIL according to the pattern size, substrate size and resist thickness. Particularly, the hole-shaped patterns with a diameter of 100 nm and a height of 100 nm on a 4 inch Si wafer after TH-NIL were deformed under tension to the maximum strain 70%. The experimental results showed that uniform nano-patterns can be acquired by minimizing the thermal mismatch while nanoimprinting through using a pair of Si stamp and Si substrate, thinning the resist thickness and separating the stamp at a relatively high temperature.  相似文献   

5.
The UV imprinting period and pressure magnitude are very important processing factors along with pattern shape and density of mold pattern. In the control process of the residual resin layer, the imprinting period and the magnitude and rate of the imprinting pressure should be properly assigned according to the density and shape of the mold pattern as well as resin viscosity etc. In this work, the imprinting time and pressure rate are studied for the formation and thickness variation of the residual resin layer. The thickness variation of the resin layer during imprinting process is computed according to the variation of the imprinting load rate, and some comparison results are successfully obtained with a 3-D shape of the mold patterns.  相似文献   

6.
A high-thermal-resistance polymer-based flexible imprint mold was developed to be used in a hot embossing process. This mold was readily replicated in a UV curing imprint process and can be used as a mold for hot embossing and thermally curing imprint processes. The nano-sized pattern of this mold was not degraded by soaking at 350 degrees C for 10 min and the pattern fidelity was maintained after 10 separate cyclic heating tests between 0 degrees C and 350 degrees C. The substrate of this flexible mold was PI film, and a UV-cured polyurethane acrylate (PUA) layer was used to form the nano-scale patterns. The durability of this polymeric mold was tested by repetitive hot embossing processes. Nano-scale patterns of the mold were readily transferred to a PMMA layer coated onto a Si substrate by hot embossing lithography at 180 degrees C. After 10 cycles of hot embossing processes, no damage or degradation was observed in the flexible polymer mold. Using this polymer mold, patterns as small as 50 nm were successfully transferred to a Si substrate. Due to the flexibility of the polymer mold, nano-scale patterns were successfully transferred to a non-flat acryl substrate by hot embossing lithography.  相似文献   

7.
Cross-linked polymer replica of a nanoimprint mold at 30 nm half-pitch   总被引:1,自引:0,他引:1  
This letter reports the demonstration of a photocurable polymer process for replicating the master mold for nanoimprint lithography. The cross-linked polymer mold was fabricated directly with high fidelity from a master by imprinting and photocuring a low viscosity liquid prepolymer film spun onto a substrate. The surface of the cross-linked polymer mold can be treated using an O(2) plasma, and then vapor primed with a low surface energy mold release layer for repeatable imprinting. The imprinting results demonstrated that the cross-linked polymer mold could be faithfully used for both thermal and photocurable nanoimprint lithography.  相似文献   

8.
We have demonstrated multistep room-temperature nanoimprint lithography (RTNIL) using polystyrene (PS, average molecular weight 97 kg/mol) as the imprint polymer layer on a silicon substrate for imprinting complex patterns. Single, double, and multiple (up to ten) sequential imprint steps were performed at imprint pressures between 1 to 30 MPa in separate experiments. We also transferred the imprinted patterns from the PS layer into the silicon substrate by means of an reactive-ion etching (RIE) process. To accomplish this demonstration, we designed and built a tool that controllably and repeatedly translated and pressed a sample into a stationary mold. The demonstrated interstep alignment accuracy of this tool ranged between 80 nm and 380 nm. These experiments revealed that polymer deformation results when nanoimprint is used to further deform a previously structured surface. The molds used in these experiments consisted of 400-nm-period diffraction gratings, as well as of rectangular structures of varying aspect ratios, ranging from 150 to 300 nm wide.  相似文献   

9.
Laser-assisted direct imprinting (LADI) technique has been proposed to utilize an excimer laser to irradiate and heat up the substrate surface through a highly-transparent quartz mold preloaded on this substrate for micro- to nano-scaled structure fabrications. While the melting depth and molten duration are key issues to achieve a satisfactory imprinting pattern transfer, many material property issues such as crystalline phase alteration, grain size change and induced film stress variation are strongly affected by transient thermal response. With one-dimensional simplification as a model for the LADI technique, the present paper has successfully derived an analytical solution for the arbitrary laser pulse distribution to predict the relevant imprinting parameters during the laser induced melting and solidification processes. The analytical results agree quite well with the experimental data in the literature and hence can be employed to further investigate the effects of LADI technique from laser characteristics (wavelength, fluence and pulse duration) and substrate materials (silicon and copper) on the molten duration, molten depth and temperature distributions. Three kinds of excimer laser sources, ArF (193 nm), KrF (248 nm) and XeCl (308 nm) were investigated in this study. For the silicon substrate, the melting duration and depth were significantly dictated by the wavelength of laser used, indicating that employing the XeCl excimer laser with longer pulse duration (30 ns in the present study) will achieve the longest molten duration and deepest melting depth. As for the copper substrate, the melting duration and depth are mainly affected by the laser pulse duration; however, the wavelength of laser still plays an insignificant role in LADI processing. Meanwhile, the laser fluence should properly be chosen, less than 1.4 J/cm2 herein, so as to avoid the substrate temperature exceeding the softening point of the quartz mold (~1950 K) and to make sure that the mold can still maintain the original features.  相似文献   

10.
We have demonstrated single-step room temperature nanoimprint lithography (RTNIL) using polystyrene (PS, average molecular weights ranging from 13 to 97 kg/mol) as the imprint polymer layer on a silicon substrate for imprinting rectangular line patterns with varying aspect ratios, ranging from 11 to 500 nm wide. To accomplish this demonstration, we designed and built a tool that controllably pressed a mold into a stationary imprint sample applying imprint pressures between 280 and 700 MPa. The molds used in these experiments were GaAs/AlGaAs sandwich structures fabricated by molecular beam epitaxy (MBE) that we cleaved and selectively etched afterward in order to generate 3-D grating structures with nanometer resolution on their edges. We fabricated positive and negative molds comprising single- line as well as multiline patterns with different aspect ratios and linewidths between 9 and 300 nm.  相似文献   

11.
This article reports an effective method for mass-production of 300 × 300 microlens arrays. A microlens array master is formed by imprint lithography and photo-resist reflow at room temperature. The electroforming is then applied to fabricating the Ni mold from the master, followed by the gas-assisted hot embossing to replicate the microlens arrays. The isotropic gas pressure on the plastic film against the Ni mold produces plastic microlens array of high quality and uniformity. The effects of processing parameters including the processing temperature, pressure, and time on the replication quality of microlens arrays were investigated. The experimental results show that the filling of molded microlens significantly increases as the processing temperature and pressure increase. Under the condition of 180°C, 3.9 MPa for gas pressure, and 90 seconds processing time, the arrays of polycarbonate microlens of diameter 150 µm and pitch 200 µm have been successfully replicated. The deviation of replicated microlens from the mold is less than 0.25%. Compared with the conventional hot embossing process, the new replication method offers more uniform embossing pressure distribution. The great potential for replicating microlens array on large plastic films with high productivity and low cost was demonstrated.  相似文献   

12.
A new method for identification and localization of organic molecules in biological samples is described. The method involves making an imprint of a biological sample on a silver (Ag) surface and subsequent analysis of the imprint by imaging time-of-flight secondary ion mass spectrometry (TOF-SIMS). Using this method, detection of unfragmented, Ag cationized molecules at a spatial resolution of <0.5 microm is possible. We have used the method to study the spatial distribution of phosphatidylcholine and cholesterol in blood cells adhering to a glass surface. The TOF-SIMS images show that cholesterol is preferentially located in the plasma membrane, whereas the phosphocholine shows highest concentration in the nuclear membrane. Scanning electron microscopy and fluorescence microscopy images show that the amount of transferred material during the imprinting process can be controlled by varying the imprinting pressure and pretreatment of the cell substrate prior to imprinting.  相似文献   

13.
We have investigated the nanofabrication for glass-like carbon molds with electron cyclotron resonance oxygen ion beam etching technologies using polysiloxane [-R2SiO-]n as an electron beam mask and a room-temperature imprint resist material. The maximum etching selectivity of polysiloxane film against glass-like carbon was 27, which was obtained with ion energy of 400 eV. It was found that the optimum etching time to fabricate dots of 500 nm in height was 5 min, which was explored according to the computer simulation. The glass-like carbon molds with square pole and cylinder dots were fabricated with 500 nm in width and diameter, respectively. The optimum imprinting pressure and its depth obtained after the press for 5 min were 0.5 MPa and 0.5 μm, respectively. We carried out the room-temperature nanoimprint lithography process using glass-like carbon molds. The resulting width of imprinted polysiloxane patterns was obtained in good agreement with that of the mold.  相似文献   

14.
This paper reports a process for fabricating TiO2 nano-patterns using nanoimprint lithography and a sol–gel method. An ethanol-based TiO2 sol was prepared using tetrabutylorthotitanate as a precursor and used as an imprint resin. A replicated polydimethylsiloxane (PDMS) mold was used as an imprint stamp. During the imprinting process at 5 atm and 200 °C for 1 h, the TiO2 sol changed to a TiO2 gel by absorbing the solvent into the PDMS mold. After imprinting, a TiO2 gel pattern was formed on an oxidized Si wafer. After subsequent annealing, it confirmed that patterns of the master template were transferred to TiO2 patterns by Scanning Electron Microscopy. Furthermore, Transmission Electron Microscopy and X-Ray Diffraction showed that the TiO2 gel patterns had been converted to an inorganic polycrystalline TiO2 pattern.  相似文献   

15.
During the imprinting process, the temperature of the UV resin increases as the phase of the resin changes from fluid into solid. During UV curing, some amount of heat is released from inside the resin and transferred into contacting materials. The heat flow is measured with photo-DSC, and other related thermal and mechanical properties of the resin. With the measured material properties, the temperature developments both inside of the resin layer and along the interfaces of the contacting materials are computed. During the UV exposure period, the thermal deformation of the mold, which directly influences the pattern distortion are investigated. Under this condition, the developments of strain and temperature inside the mold structure including the UV resin of 3-D shape are computed with the transient time scale during UV curing according to the thickness of resin layer. These computational results are expected to provide useful information for better designs of the imprinting mold and the process condition.  相似文献   

16.
We present an approach that uses existing nanoimprint molds and reduces the size of the resulting features significantly via a remastering process utilizing the anisotropic etchant tetramethylammonium hydroxide and a mold casting step. Inverted pyramidal structures and V-grooves were imprinted using these 2.5-dimensional (2.5D) replica molds. Pattern transfer into silicon (Si) substrates was established with an intermediate silicon nitride (SiN(x)) layer that can be etched with a much larger selectivity against the imprint resist than the Si substrate. The 2.5D resist profiles are thus transferred back into binary structures in the SiN(x) layer and subsequently into the Si substrate. A substantial size reduction of the diameter of pits from 91 to 33?nm and the width of lines from 600 to 142?nm was achieved.  相似文献   

17.
With the aim of investigating nano-imprintability of glassy alloys in a film form, Zr(49)Al(11)Ni(8)Cu(32), Pd(39)Cu(29)Ni(13)P(19) and Cu(38)Zr(47)Al(9)Ag(6) glassy alloy thin films were fabricated on Si substrate by a magnetron sputtering method. These films exhibit a very smooth surface, a distinct glass transition phenomenon and a large supercooled liquid region of about 80 K, which are suitable for imprinting materials. Moreover, thermal nano-imprintability of these obtained films is demonstrated by using a dot array mold with a dot diameter of 90 nm. Surface observations revealed that periodic nano-hole arrays with a hole diameter of 90 nm were successfully imprinted on the surface of these films. Among them, Pd-based glassy alloy thin film indicated more precise pattern imprintability, namely, flatter residual surface plane and sharper hole edge. It is said that these glassy alloy thin films, especially Pd-based glassy alloy thin film, are one of the promising materials for fabricating micro-machines and nano-devices by thermal imprinting.  相似文献   

18.
Kim JK  Cho HS  Jung HS  Lim K  Kim KB  Choi DG  Jeong JH  Suh KY 《Nanotechnology》2012,23(23):235303
We report on nanoimprinting of polymer thin films at 30?nm scale resolution using two types of ultraviolet (UV)-curable, flexible polymer molds: perfluoropolyether (PFPE) and polyurethane acrylate (PUA). It was found that the quality of nanopatterning at the 30?nm scale is largely determined by the combined effects of surface tension and the coefficient of thermal expansion of the polymer mold. In particular, the polar component of surface tension may play a critical role in clean release of the mold, as evidenced by much reduced delamination or broken structures for the less polarized PFPE mold when patterning a relatively hydrophilic PMMA film. In contrast, such problems were not notably observed with a relatively hydrophobic PS film for both polymer molds. In addition, the demolding characteristic was also influenced by the coefficient of thermal expansion so that no delamination or uniformity problems were observed when patterning a UV-curable polymer film at room temperature. These results suggest that a proper polymeric mold material needs to be chosen for patterning polymer films under different surface properties and processing conditions, providing insights into how a clean demolding characteristic can be obtained at 30?nm scale nanopatterning.  相似文献   

19.
High density metal cross bars at 17 nm half-pitch were fabricated by nanoimprint lithography. Utilizing the superlattice nanowire pattern transfer technique, a 300-layer GaAs/AlGaAs superlattice was employed to produce an array of 150 Si nanowires (15 nm wide at 34 nm pitch) as an imprinting mold. A successful reproduction of the Si nanowire pattern was demonstrated. Furthermore, a cross-bar platinum nanowire array with a cell density of approximately 100 Gbit/cm(2) was fabricated by two consecutive imprinting processes.  相似文献   

20.
目的针对汽车用某实心边框铝型材出口流速不均匀的现象,改进模具的工作带、阻流块和促流角结构。方法运用专业铝型材挤压成形有限元软件系统,对型材的挤压过程进行分析,模拟稳态挤压成形过程,以速度相对差作为衡量速度均匀程度的指标。结果初始模具结构挤出的型材流速不均匀。通过改变工作带长度、增设阻流块以及增加促流角的方法,使得模具出口处的金属流速变得均匀。结论改进后模具所受的压力更小,可以减小模具的磨损,增加模具寿命,模拟结果与实验吻合。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号