首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 125 毫秒
1.
刘敏  郑柳  何志  王文武 《激光与红外》2022,52(4):515-521
目前,激光退火技术被广泛应用于半导体加工领域,但对如何选择激光条件进行相应的退火并没有系统清晰的准则可以参考,尤其是在硅的深注入杂质激活方面。本文通过对激光照射在硅晶圆上形成的温度场分布进行数值模拟研究,分析了激光波长和脉冲宽度对加热深度以及晶圆背面温度的影响。结果表明,延长激光波长或脉冲宽度,都有助于增加激光退火的加热深度。而对于特定的激活深度需求,存在着最优的激光波长和脉冲宽度组合,可以使退火所需要的激光脉冲能量最低,硅晶圆背面的温升最小。本文通过模拟仿真给出了激活深度在1~10μm范围内的最优波长和脉宽值,可为实现高效的深硅注入激光激活工艺提供重要的条件参考。  相似文献   

2.
激光退火的一点注记   总被引:4,自引:0,他引:4  
半导体激光退火、激光诱导表面沉积杂质的扩散、激光感应材料相变等应用研究中,都需要了解激光作用后材料的降温速率。使用毫微秒激光脉冲可以使多晶铝表面形成一薄薄的非晶层,用微微秒激光脉冲既能使硅单晶形成非晶,也能使非晶变成具有多层结构的晶态物质。简单理论指出,脉冲愈短,降温速率愈高,估算在微微秒的脉冲作用下降温速率会达10~(14)K/秒。但事实上我们用热熔化模型计算的结果是,可能达到的降温速率要比这个数  相似文献   

3.
自从1976年国外使用激光对离子注入半导体进行激光退火取得成功以来,它已经成为应用半导体研究中最引人注目的一项课题。半导体的激光处理有以下特点:可以对离子注入后的半导体损伤得到完全恢复而不损伤衬底材料的效果。还可以利用聚焦的激光来扫描,对注入层局部选择退火,这样可大大提高集成电路的密集度。同时在消除损伤,离子注入的电激活率方面都比普通热处理方法好得多。我们于1978年在国内首先研究半导体离子注入激光退火成功,随后在GaAs 材料合金化(欧姆接触)、无定形硅的激光外延再生长,激光幅照太阳能电池提高转换效率、激光处理记忆元件(磁泡)等方面都取得了一定的结果。本文提出激光处理的实验方法、实验结果,以及探讨半导体激光处理引起无定形层再结晶、激光退火层的性质(如晶体缺陷,表面容貌、杂质分布、杂质原子晶格位置、激光退火层电性能)及器件应用等方面的问题。  相似文献   

4.
本文报导了采用165 keV He~+离子沟道背散射技术研究高剂量铋注入<111>硅后,进行脉冲激光退火的效果,并和热退火作了比较。热退火温度达到900℃时,剩余晶格损伤还有35%;在750℃下退火时,铋的替位率达到最大值50%,温度再升高,替位率反而下降;在退火温度高于625℃时就产生大量铋原子的外扩散。面脉冲激光退火后,晶格损伤几乎全部消除,铋原子进行再分布,它在硅中的浓度可超过固溶度一个数量级,且95%以上处于替代位置。文中还就不同激光能量下的退火情况作了比较。  相似文献   

5.
皮秒和纳秒脉冲激光作用于半导体材料的加热机理研究   总被引:8,自引:0,他引:8  
沈中华  陆建  倪晓武 《中国激光》1999,26(9):859-863
从半导体材料的吸收机制出发,分析研究了激光能量在半导体材料中的传输过程,并采用双温模型分别模拟计算了在人射激光能量相同的情况下,皮秒和纳秒激光脉冲作用于硅半导体材料的加热过程,结果表明在纳秒脉冲作用下,可以忽略载流子效应,用单纯的单温热传导方程来模拟。而在皮秒脉冲作用下,应该考虑载流子效应,采用包括晶格温度和载流子温度的双温模型来模拟硅半导体材料的加热过程。  相似文献   

6.
近年来,激光退火与离子注入技术相结合已在半导体和金属物理领域中获得应用。讨论了激光与固体表面相互作的物理机制。报道了有关激光照射前放射性原子注入到表面的扩散的研究情况。本文报道了激光退火的现状,这种工艺过程对于辐射损伤无定形硅重结晶的重要性以及在半导体物理中的应用前景。  相似文献   

7.
超短激光脉冲对硅表面微构造的研究   总被引:6,自引:6,他引:6  
李平  王煜  冯国进  郑春弟  赵利  朱京涛 《中国激光》2006,33(12):688-1691
在特定的气体氛围下,用一定能量密度的超短脉冲激光连续照射单晶硅片表面,制备出表面具有准规则排列的微米量级锥形尖峰结构的“黑硅”新材料。不同背景气体下的实验表明,激光脉宽和背景气体对表面微构造的形成起着决定性的作用。具体分析了SF6气体氛围中,皮秒和飞秒激光脉冲作用下硅表面微结构的演化过程。虽然两者均可造成硅表面的准规则排列微米量级尖峰结构,但不同脉冲宽度的激光与硅表面相互作用的物理机制并不相同。在皮秒激光脉冲作用下,尖峰结构形成之前硅片表面先熔化;而飞秒激光脉冲作用下尖峰的演化过程中始终没有出现液相。对材料的光辐射吸收的初步研究表明,该材料对1.5~16μm的红外光辐射吸收率不低于80%。  相似文献   

8.
脉冲红外激光诱发SiCl_4解离的通道   总被引:1,自引:0,他引:1  
本文用荧光光谱方法研究了脉冲红外激光诱导 SiCl_4解离的通道,在 SiCl_4的解离过程中观测到硅原子,硅离子、氯原子,氯离子的荧光谱线、得到在均相和多相体系中 SiCl_4解离的能量阈值。  相似文献   

9.
王浩  严利人  周伟  孙德明  王全  张伟 《微电子学》2015,45(1):125-129, 135
熔性/亚熔性激光退火在IGBT类电力电子器件制造中有着重要的作用。该工艺涉及瞬间、局部、高强度的能量馈入冲击,材料在升温段涉及固态至亚熔或局部熔化状态的相变,在随后降温段的退火,还有离子注入杂质在此短暂过程中的激活和扩散再分布等复杂的物理过程。为了从机理上比较好地处理和揭示这样的工艺步骤,在大量激光退火实验的基础上,进行了工艺过程的全数值分析。首先,利用瞬态热场分析技术计算出激光作用下的硅材料浅表层中,不同时刻和不同位置处沿硅晶圆深度方向各点的温度-时间变化曲线,之后将菲克第二定律推广到方程式中相关量为时变的情况,利用数值积分计算出最终的杂质再扩散量,并与实验结果相比较验证。该工作初步建立起了熔性/亚熔性激光退火工艺步骤的可量化的物理模型。  相似文献   

10.
温雅  彭滟  张冬生  陈宏彦  陈麟  朱亦鸣 《中国激光》2012,39(4):406001-133
介绍了在SF6气体环境下由不同脉冲能量的飞秒激光在硅表面蚀刻出的尖峰结构的变化。其中,硅表面形成的尖峰高度先是随脉冲能量的升高而增加,然而当脉冲能量增加到一定程度时,脉冲能量的继续升高却会导致尖峰高度的降低。尖峰高度在开始阶段的增加是由于激光的消融作用;而过高的能量在前几百个脉冲入射后无法穿透到硅材料深处,聚集在硅表面的能量除了引发最外层的硅材料的飞溅,还使次外层的硅一直处于熔融状态,这种状态阻碍了尖峰结构的形成,即使后继能量顺利导入内部,但由于前一部分脉冲对尖峰结构的形成并无贡献,因此表面的尖峰高度反而有所降低。  相似文献   

11.
We investigate the effect of the number of laser pulses on the formation of p+/n silicon ultra-shallow junctions during non-melt ultra-violet laser (wavelength, 355 nm) annealing. Through surface peak temperature calculating by COMSOL Multiphysics, the non-melt laser thermal annealing is performed under the energy density of 130 mJ/cm2. We demonstrate that increasing the number of laser pulses without additional pre-annealing is an effective annealing method for achieving good electrical properties and shallow junction depth by analyzing sheet resistance and junction depth profiles. The optimal number of laser pulses is eight for achieving a high degree of activation of dopant without further increase of junction depth. We have also explained the improved electrical characteristics of the samples on the basis of fully recovered crystallinity as revealed by Raman spectroscopy. Thus, it is suggested that controlling the number of laser pulses with moderate energy density is a promising laser annealing method without additional pre-annealing.  相似文献   

12.
The integration of laser annealing in SiGe and Ge based MOS devices is investigated by means of numerical simulations. Our simulation code is based on two modules: the former simulates the interaction between the laser light and the transistor structure to estimate the heating, the latter simulates heat diffusion, phase changes and material redistribution under irradiation. The model is calibrated in the case of different atomic species (namely Si, Ge and common dopant impurities), considering the thermal properties of the materials and the impurity depending diffusivity in the solid, liquid and interfacial region. We present several simulation results obtained by varying materials, implanted impurity profiles and geometry of the CMOS-like structures. With the support of the simulation results we discuss the possible perspectives of the excimer laser annealing application to the fabrication of post-Si CMOS devices. In particular, we show that by using Ge and SiGe materials the process window for a melting process is larger with respect to the case of traditional Si based devices.  相似文献   

13.
Ion implantation followed by pulsed laser melting is an extensively-studied method for hyperdoping Si with impurity concentrations that exceed the equilibrium solubility limit by orders of magnitude. In the last decade, hyperdoped Si has attracted renewed interest for its potential as an intermediate band material. In this review, we first examine the important experimental results on both solid and liquid phase crystal regrowth from early laser annealing studies. The highly non-equilibrium regrowth kinetics following pulsed laser melting and its implications for dopant incorporation processes are discussed. We then review recent work in hyperdoped Si for enhanced sub-band gap photoresponse and give a brief discussion on photodetector device performance.  相似文献   

14.
The crystalline structure and impurity profiles of HgCdTe/CdTe/alternate substrate (AS; Si and GaAs are possibilities) and CdTe/AS were analyzed by secondary-ion mass spectrometry, atomic force microscopy, etch pit density analysis, and scanning transmission electron microscopy. Impurities (Li, Na, and K) were shown to getter in as-grown CdTe/Si epilayers at in situ Te-stabilized thermal anneal (~500°C) interfaces. In HgCdTe/CdTe/Si epilayers, indium accumulation was observed at Te-stabilized thermal anneal interfaces. Impurity accumulation was measured at HgCdTe/CdTe and CdTe/ZnTe interfaces. Processing anneals were found to nearly eliminate the gettering effect at the in situ Te-stabilized thermal anneal interfaces. Impurities were found to redistribute to the front HgCdTe/CdTe/Si surface and pn junction interfaces during annealing steps. We also investigated altering the in situ Te-stabilized thermal anneal process to enhance the gettering effect.  相似文献   

15.
采用脉冲激光沉积制备了掺铒Al2O3/Si多层薄膜,在淀积过程中脉冲激光溅射产生的高能量Er原子渗透进入非晶硅层,并引入了额外的应力,在低退火温度下诱导形成纳米晶Si。利用纳米晶Si作为敏化剂有效地增强了Er3+在Al2O3中的光致发光。样品微观结构和发光强度的关系表明,获得高密度和小尺寸的纳米晶Si和Er3+处于良好的发光环境是实现优化发光的关键,最优化的Er3+发光强度在退火温度为600℃的条件下得到。  相似文献   

16.
Close contact rapid thermal annealing of semi-insulating GaAs:Cr implanted with Si, Si + Al, and Si + P has been studied using variable temperature Hall effect measurements and low temperature (4.2K) photoluminescence (PL) spectroscopy. Isochronal (10 sec) and isothermal (1000° C) anneals indicate that As is lost from the surface during close contact annealing at high anneal temperatures and long anneal times. Samples which were implanted with Si alone show maximum activation at an annealing temperature of 900° C, above which activation efficiency decreases. Low temperature Hall and PL measurements indicate that this reduced activation is due to increasing auto-compensation of Si donors by Si acceptors at higher anneal temperatures. However, co-implantation of column V elements can increase the activation of Si implants by reducing Si occupancy of As sites and increasing Si occupancy of Ga sites, and therebyoffset the effects of As loss from the surface. For samples implanted with Si + P, activation increases continuously up to a maximum at an anneal temperature of 1050° C, and both low temperature Hall and PL measurements indicate that autocompensation does not increase in this case as the anneal temperature increases. In contrast, samples implanted with Si + Al show very low activation and very high compensation at all anneal temperatures, as expected. The use of column V co-implants in conjunction with close contact RTA can produce excellent donor activation of Si implanted GaAs.  相似文献   

17.
A systematic study was conducted to further understand the physical origin of stress modification in AlN overgrown on Si(111) upon ion implantation and annealing. Implantation parameters including ion size, energy, dosage, and current density were varied, and their effects on the amorphization process in Si(111) substrates were examined. The creation of a thick (>120 nm) amorphous Si (a-Si) layer was previously shown to result in isolation of an epitaxial AlN film grown on a Si(111) substrate through implantation-induced amorphization of the substrate, and this mechanical isolation resulted in stress dilution in the AlN layer. Results show that implanting at current density of 2 mA/cm2 allows for only a thin amorphous layer to be created because of the effects of dynamic annealing, which simultaneously eliminates any damage created from the ion implantation, regardless of ion species, dosage, and energy. Lowering the current density to 0.2 mA/cm2 does create a thick a-Si layer; however, the amorphization disappears during a high-temperature (HT) anneal. Lowering the current further to 0.2 μA/cm2 creates a thick a-Si layer that can be maintained through a HT anneal, with this difference arising from the interfacial quality of the a-Si and crystalline Si (c-Si) boundary.  相似文献   

18.
Degenerately doped n-type GaAs produces band-to-band luminescence with the peak energy dependent on the carrier concentration. In this study the photoluminescence of Si-doped GaAs is examined after implantation with high energy Be ions and annealing. The band-to-band peak energy in the unimplanted (reference) material is shown to be smaller than reported values in Te-doped GaAs of the same carrier concentration. This is attributed to compensation in the Si doped material as a result of its amphoteric nature. For the implanted samples, no luminescence was recorded for the unannealed samples or those annealed at 400°C and 500°C. Comparing the relative peak intensities from material annealed at 600°C for 15 min and 30 min indicates an increase in the number of As vacancies with anneal time. For samples annealed at 700°C and 800°C, the dominant luminescence is associated with GaAs antisite defects. It is suggested that formation of these defects occurs predominantly only at these higher temperatures. Crystal recovery as measured by the luminescence intensity increased with both anneal temperature and time. For the implanted sample annealed at 800°C for 15 min, the dominant peak height was 25% of that from the reference sample.  相似文献   

19.
比较了掺Fe和非掺退火半绝缘(SI)InP材料中Fe杂质的分布,掺杂激活机理以及Fe原子与点缺陷的相互作用.原生掺Fe SI-InP中Fe的替位激活主要通过填隙-跳跃机制,但Fe原子易在位错周围聚集,与空位形成复合体缺陷,占据填隙位等,从而降低Fe的激活效率.在FeP2气氛下退火非掺InP获得的SI-InP材料中,Fe原子的激活主要通过扩散过程的"踢出-替位"机制.退火前材料中存在的In空位使Fe原子通过扩散充分占据In位,同时抑制了材料中深能级缺陷的形成.因此,这种SI-InP材料的Fe激活效率高、电学性能好.  相似文献   

20.
在N2/O2气氛中,使用Ti、Hf靶共反应溅射在衬底Si上淀积一种新型栅介质材料HfTiON,随后分别在N2气氛中600°C和800°C退火2min。电容电压(C-V)特性和栅极漏电流特性测试结果表明,800°C快速热退火(RTA)样品表现出更低的界面态密度、更低的氧化物电荷密度和更好的器件可靠性,这是由于在800°C下的RTA能有效地消除溅射生长过程中导致的损伤,形成高质量、高可靠性的介质/Si界面。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号