首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
We report on the dielectric properties and leakage current characteristics of 3 mol% Mn-doped Ba0.6Sr0.4TiO3 (BST) thin films post-annealed up to 600 °C following room temperature deposition. The suitability of 3 mol% Mn-doped BST films as gate insulators for low voltage ZnO thin film transistors (TFTs) is investigated. The dielectric constant of 3 mol% Mn-doped BST films increased from 24 at in-situ deposition up to 260 at an annealing temperature of 600 °C due to increased crystallinity and the formation of perovskite phase. The measured leakage current density of 3 mol% Mn-doped BST films remained on the order of 5 × 10− 9 to 10− 8 A/cm2 without further reduction as the annealing temperature increased, thereby demonstrating significant improvement in the leakage current characteristics of in-situ grown Mn-doped BST films as compared to that (5 × 10− 4 A/cm2 at 5 V) of pure BST films. All room temperature processed ZnO-TFTs using a 3 mol% Mn-doped BST gate insulator exhibited a field effect mobility of 1.0 cm2/Vs and low voltage device performance of less than 7 V.  相似文献   

2.
The Bi3.15Nd0.85Ti3-xZrxO12 (BNTZ) thin films with Zr content (x = 0, 0.05, 0. 1, 0.15, and 0.2) were prepared on Pt/Ti/SiO2/Si (100) substrates by chemical solution deposition (CSD) technique. The crystal structures of BNTZ films were analyzed by X-ray diffraction (XRD). The effects of Zr contents on the ferroelectric, dielectric properties, and leakage current of BNTZ films were thoroughly investigated. The XRD results demonstrated that all the films possessed a single phase bismuth-layered structure and exhibited the highly preferred (117) orientation. Among these films, the film with Zr content x = 0.1 held the maximum remanent polarization (2Pr) of 50.21 μC/cm2 and a low coercive field (2Ec) of 210 kV/cm.  相似文献   

3.
The n-type doped silicon thin films were deposited by plasma enhanced chemical vapor deposition (PECVD) technique at high and low H2 dilutions. High H2 dilution resulted in n+ nanocrystalline silicon films (n+ nc-Si:H) with the lower resistivity (ρ ∼0.7 Ω cm) compared to that of doped amorphous silicon films (∼900 Ω cm) grown at low H2 dilution. The change of the lateral ρ of n+ nc-Si:H films was measured by reducing the film thickness via gradual reactive ion etching. The ρ values rise below a critical film thickness, indicating the presence of the disordered and less conductive incubation layer. The 45 nm thick n+ nc-Si:H films were deposited in the nc-Si:H thin film transistor (TFT) at different RF powers, and the optimum RF power for the lowest resistivity (∼92 Ω cm) and incubation layer was determined. On the other hand, several deposition parameters of PECVD grown amorphous silicon nitride (a-SiNx:H) thin films were changed to optimize low leakage current through the TFT gate dielectric. Increase in NH3/SiH4 gas flow ratio was found to improve the insulating property and to change the optical/structural characteristics of a-SiNx:H film. Having lowest leakage currents, two a-SiNx:H films with NH3/SiH4 ratios of ∼19 and ∼28 were used as a gate dielectric in nc-Si:H TFTs. The TFT deposited with the NH3/SiH4∼19 ratio showed higher device performance than the TFT containing a-SiNx:H with the NH3/SiH4∼28 ratio. This was correlated with the N−H/Si−H bond concentration ratio optimized for the TFT application.  相似文献   

4.
Bi3.2Gd0.8Ti3O12 (BGTO) thin films were deposited on Pt(111)/Ti/SiO2/Si(100) substrates using the sol-gel method and rapid thermal annealing in an oxygen atmosphere. The effects of annealing temperature (500–800°C) on microstructure and electrical properties of thin films were investigated. X-ray diffraction analysis shows that the BGT thin films have a bismuth-layered perovskite structure with preferred (117) orientation. The intensities of (117) peaks increases with increasing annealing temperature. The leakage current density (J) was 3.69×10−8 A/cm2 at 200 kV/cm. It was found that the leakage current was affected not only by the microstructure but also by the interface between the Pt electrode and BGTO thin films. In the low electric field region, the leakage current was controlled by Poole–Frenkel emission. In addition, the mechanism can be explained by Schottky emission from the Pt electrode in the high electric field region.  相似文献   

5.
Amorphous InGaZnO (a-IGZO) thin film transistors (TFTs) with double-layer gate dielectric were fabricated at low temperature and characterized. A stacked 150 nm-thick SiO2/50 nm-thick HfO2 dielectric layer was employed to improve the capacitance and leakage characteristics of the gate oxide. The SiO2/HfO2 showed a higher capacitance of 35 nF/cm2 and a lower leakage current density of 4.6 nA/cm2 than 200 nm-thick SiO2. The obtained saturation mobility (μsat), threshold voltage (Vth), and subthreshold swing (S) of the fabricated TFTs were 18.8 cm2 V?1 s?1, 0.88 V, and 0.48 V/decade, respectively. Furthermore, it was found that oxygen pressure during the IGZO channel layer deposition had a great influence on the performance of the TFTs.  相似文献   

6.
We have investigated the characteristics of transparent metal-insulator-semiconductor field-effect transistors (MISFETs) fabricated using InGaO3(ZnO)m (m=integer) single-crystalline thin films as n-channel layers and amorphous alumina as gate insulator films. The MISFETs exhibit good characteristics such as insensitivity to visible light illumination, off-current as low as ∼1 nA with a positive threshold voltage of ∼3 V and on/off current ratio of 105. The field-effect mobility increased from ∼1 to ∼10 cm2 (V s)−1 as the m-value increased. Room temperature Hall mobility also increased. However, unexpectedly these values were lower than the field-effect mobility. It is explained by existence of shallow localized state in the homologous compounds.  相似文献   

7.
In this work, Y2O3 was evaluated as a gate insulator for thin film transistors fabricated using an amorphous InGaZnO4 (a-IGZO) active layer. The properties of Y2O3 were examined as a function of various processing parameters including plasma power, chamber gas conditions, and working pressure. The leakage current density for the Y2O3 film prepared under the optimum conditions was observed to be ~ 3.5 × 10− 9 A/cm2 at an electric field of 1 MV/cm. The RMS roughness of the Y2O3 film was improved from 1.6 nm to 0.8 nm by employing an ALD (Atomic Layer Deposition) HfO2 underlayer. Using the optimized Y2O3 deposition conditions, thin film transistors (TFTs) were fabricated on a glass substrate. The important TFT device parameters of the on/off current ratio, sub-threshold swing, threshold voltage, and electric field mobility were measured to be 7.0 × 107, 0.18 V/dec, 1.1 V, and 3.3 cm2/Vs, respectively. The stacked insulator consisting of Y2O3/HfO2 was highly effective in enhancing the device properties.  相似文献   

8.
The HfO2 gate dielectric films were fabricated by the laser molecular beam epitaxy (LMBE) technique. High-resolution transmission electron microscopy (HRTEM) observation showed that under optimized condition, there is no detectable SiO2 interfacial layer in the as-deposited film and a SiO2 interfacial layer of about 0.4 nm was formed at the Si interface due to the post deposition annealing. Capacitance–voltage (CV) measurement of the film revealed that the equivalent oxide thickness was about 1.3 nm. Such a film showed very low leakage current density of 1.5 × 10−2 A cm−2 at 1 V gate bias from the current–voltage (IV) analysis. The conduction mechanisms as a function of temperature T and electric field E were also systematically studied.  相似文献   

9.
Hysteresis behaviour in sandwich structure — zirconium oxide/chemical silicon oxide, annealed at temperature of 850 °C in oxygen ambient, was studied. Formation of thin ZrSixOy layer due to the high temperature annealing was found. Metal-insulator-semiconductor (MIS) capacitors using ZrO2/ZrSixOy/SiOx insulator were studied. High-frequency capacitance-voltage (HF C-V), current-voltage (I-V) and current-time (I-t) measurements were carried out on the Al/ZrO2/ZrSixOy/SiOx/Si capacitors.Two leakage current components were identified — tunneling current component at high electric fields and transient current component at low fields. The transient leakage currents are due to charge trapping phenomena. The measured I-t characteristics are related with charging/discharging and dielectric relaxation phenomena. A counter-clockwise HF C-V hysteresis, larger than 2 V at thickness of the stack structure of about 50 nm was observed.Metal-insulator-semiconductor field effect transistors (MISFETs) using ZrO2/ZrSixOy/SiOx-gate insulator were studied. P-channel MISFETs with aluminum gate electrode were fabricated on standard n-type silicon substrates. Due to charging/discharging phenomena in the gate dielectric the transistors can be switched between On- and Off-state with the polarity of applied stress voltage.  相似文献   

10.
Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon substrates have been investigated. ZnO/Si substrates are characterized by Rutherford backscattering (RBS), X-ray diffraction (XRD), Fourier transform infrared (FTIR), and X-ray photoelectron spectroscopy (XPS). ZrO2 thin films have been deposited on ZnO using microwave plasma enhanced chemical vapour deposition at a low temperature (150°C). Using metal insulator semiconductor (MIS) capacitor structures, the reliability and the leakage current characteristics of ZrO2 films have been studied both at room and high temperatures. Schottky conduction mechanism is found to dominate the current conduction at a high temperature. Good electrical and reliability properties suggest the suitability of deposited ZrO2 thin films as an alternative as gate dielectric on ZnO/n-Si heterostructure for future device applications.  相似文献   

11.
Energy Dispersive X-ray and X-ray Photoelectron (XPS) spectroscopies show that SiO x films deposited by reactive r.f. magnetron sputtering at partial pressure ratios R between oxygen and argon in a wide range (1–0.005) have compositions close to the stoichiometric one. For these films high temperature annealing at 1,000 °C shifts the band in the Fourier Transform-Infrared spectrum due to the Si–O–Si stretching vibration to values typical of stoichiometric SiO2. Further decrease of R leads to splitting of the Si 2p XPS line indicating increase of the Si content and formation of a second phase in a SiO2 matrix. The electrical properties of test MOS structures with SiO x gate dielectric, regarding defect density in the oxide and at the SiO x /c-Si interface, degrade with the decrease of R. High temperature annealing at 1,000 °C strongly improves the properties of all films regarding leakage current and properties of the interface.  相似文献   

12.
Al2O3-ZrO2 composite films were fabricated on Si by ultrahigh vacuum electron-beam coevaporation. The crystallization temperature, surface morphology, structural characteristics and electrical properties of the annealed films are investigated. Our results indicate that the amorphous and mixed structure is maintained up to an annealing temperature of 900 °C, which is much higher than that of pure ZrO2 film, and the interfacial oxide layer thickness does not increase after annealing at 900 °C. However, a portion of the Al2O3-ZrO2 film becomes polycrystalline after 1000 °C annealing and interfacial broadening is observed. Possible explanations are given to explain our observations. A dielectric constant of 20.1 is calculated from the 900 °C-annealed ZrO2-Al2O3 film based on high-frequency capacitance-voltage measurements. This dielectric characteristic shows an equivalent oxide thickness (EOT) as low as 1.94 nm. An extremely low leakage current density of ∼2×10−7 A/cm2 at a gate voltage of 1 V and low interface state density are also observed in the dielectric film.  相似文献   

13.
This paper describes the growth condition of stoichiometric ZrO2 thin films on Si substrates and the interfacial structure of ZrO2 and Si substrates. The ZrO2 thin films were prepared by rf-magnetron sputtering from Zr target with mixed gas of O2 and Ar at room temperature followed by post-annealing in O2 ambient. The stoichiometric ZrO2 thin films with smooth surface were grown at high oxygen partial pressure. The thick Zr-free SiO2 layer was formed with both Zr silicide and Zr silicate at the interface between ZrO2 and Si substrate during the post-annealing process due to rapid diffusion of oxygen atoms through the ZrO2 thin films. After post annealing at 650-750 °C, the multi-interfacial layer shows small leakage current of less than 10−8 A/cm2 that is corresponding to the high-temperature processed thermal oxidized SiO2.  相似文献   

14.
Polycrystalline p-type CuxO films were deposited after the growth of HfO2 dielectric on Si substrate by pulsed laser deposition, and CuxO metal-oxide-semiconductor (MOS) capacitors with HfO2/SiO2 stack gate dielectric were primarily fabricated and investigated. X-ray diffraction and X-ray photoelectron spectroscopy were applied to analyze crystalline structure and Cu+/Cu2+ ratios of CuxO films respectively. SiO2 interlayer formed between the high-κ dielectric and substrate was estimated by the transmission electron microscope. Results of electrical characteristic measurement indicate that the permittivity of HfO2 is about 22, and the gate leakage current density of MOS capacitor with 11.3 nm HfO2/SiO2 stack dielectrics is ∼ 104 A/cm2. Results also show that the annealing in N2 can improve the quality of CuxO/HfO2 interface and thus reduce the gate leakage density.  相似文献   

15.
《Optical Materials》2014,36(12):2604-2612
CdS nanoparticles (NPs) were generated in onion-like ordered mesoporous SiO2 films through a modified sol–gel process using P123 as a structure directing agent. Initially Cd2+ doped (12 equivalent mol% with respect to the SiO2) mesoporous SiO2 films were prepared on glass substrate. These films after heat-treatment at 350 °C in air yielded transparent mesoporous SiO2 films having hexagonally ordered onion-like pore channels embedded with uniformly dispersed CdO NPs. The generated CdO NPs were transformed into CdS NPs after exposing the films in H2S gas at 200 °C for 2 h. The as-prepared CdS NPs incorporated mesoporous SiO2 films (transparent and bright yellow in color) showed a band-edge emission at 485 nm and a weak surface defect related emission at 530 nm. During ageing of the films in ambient condition the band-edge emission gradually weakened with time and almost disappeared after about 15 days with concomitant increase of defect related strong surface state emission band near 615 nm. This transformation was related to the decay of initially formed well crystalline CdS to relatively smaller and weakly crystalline CdS NPs with surface defects due to gradual oxidation of surface sulfide. At this condition the embedded CdS NPs show large Stokes shifted (∼180 nm) intense broad emission which could be useful for luminescent solar concentrators. The detailed process was monitored by UV–Visible, FTIR and Raman spectroscopy, XPS, XRD and TEM studies. The evolution of photoluminescence (PL) and life times of CdS/SiO2 films were monitored with respect to the ageing time.  相似文献   

16.
We report the fabrication of ZnO based thin-film transistors (TFTs) with high-k gate insulator of Ti-substituted Bi1.5ZnNb1.5O7 (BZN) films. (Bi1.5Zn0.5)(Zn0.4Nb1.43Ti0.3O7) film deposited on Pt/Ti/SiO2/Si substrate by pulsed laser deposition at room temperature exhibits high dielectric constant of 73 at 100 kHz, while BZN film shows much lower dielectric constant of 50, respectively. The increasing dielectric constant with increasing Ti substitution can be attributed to the presence of a highly polarizable TiO6 octahedra and its strong correlation with the NbO6 octahedra. All room temperature processed ZnO based TFTs using Ti-substituted BZN gate insulator exhibited filed effect mobility of 0.75 cm2/Vs and low voltage device performance less than 2.5 V.  相似文献   

17.
The present work was made to investigate the effect of oxygen pressure of SiOx layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiOx buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiOx layer as a buffer, the electrical resistivity of GZO/SiOx/PET films gradually decreased from 7.6 × 10− 3 to 6.8 × 10− 4 Ω·cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiOx buffer layers. In addition, the average optical transmittance of GZO/SiOx/PET films in a visible regime was estimated to be ~ 90% comparable to that of GZO deposited onto a glass substrate.  相似文献   

18.
This paper investigates the impact of N2O plasma treatment on the light-induced instability of InGaZnO thin film transistors with a SiO2 passivation layer deposited by plasma-enhanced-chemical-vapor-deposition (PECVD). For the untreated device, because the deposition of the SiO2 passivation layer by PECVD causes extra trap states, the anomalous subthreshold leakage current can be attributed to a lowering of the source side barrier due to trap-assisted photogenerated holes. In contrast, the N2O plasma treatment applied to both the gate insulator and the active layer effectively suppresses the device instability under illumination. In order to clarify the influence of the N2O plasma treatment, this study investigates a device with treatment of only the gate insulator. This device shows a slight decrease of light-induced subthreshold leakage current. This demonstrates that N2O plasma treatment on IGZO active layer after its deposition is critical in preventing damage from the subsequent SiO2 passivation deposition process. In addition, the instability of threshold voltage (VT) under negative bias illumination stress (NBIS) is significantly improved by the N2O plasma treatment. Furthermore, a different dark recovery rate follows NBIS for untreated and N2O plasma-treated devices, indicating different hole-trapping levels exist in the energy band.  相似文献   

19.
The electrical properties of alumina films formed at substrate temperatures as low as 27 °C using tri-methyl aluminum (TMA) and molecular oxygen (O2) by catalytic chemical vapor deposition (Cat-CVD) have been investigated by capacitance-voltage (C-V), current-voltage (I-V) measurements and X-ray photoelectron spectroscopy (XPS). Substrate temperature dependence of dielectric constant and leakage current of the films has been explained on the basis of deficiency in oxygen. Interface trapping density of the order of 109 ev− 1cm− 2 has been obtained. Angle resolved XPS measurements have revealed that the direct bonding of alumina and Si was realized with very small interface trapping density.  相似文献   

20.
We investigated rutile-type titanium dioxide (TiO2) films for possible use as a high-k gate insulator. The TiO2 thin films were directly deposited on Si substrates using a RF magnetron sputtering method with a sintered oxide target. A single phase of rutile-type TiO2 whose dielectric constant of approximately 75 was obtained when the film was deposited in an inert gas atmosphere and annealed at 800 °C in an oxidizing gas atmosphere. The oxygen ions were deficient in the as-deposited film, and consequently, a sufficient oxygen supply was needed to crystallize the film to a single phase of rutile during the post-annealing. However, the interfacial SiO2 layer between the TiO2 and the Si substrate simultaneously grew thicker than 2 nm. As the interfacial SiO2 grew, the leakage current was decreased and the equivalent oxide thickness was increased, in the Au/rutile-type TiO2/Si capacitor. Therefore, we concluded that the growth of the interfacial SiO2 layer thicker than 2 nm is inevitable to form the single phase of rutile-type TiO2 and to decrease the leakage.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号