首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Bulk micromachining of Si is demonstrated by the well‐known metal‐assisted chemical etching (MaCE). Si microstructures, having lateral dimension from 5 μm up to millimeters, are successfully sculpted deeply into Si substrate, as deep as >100 μm. The key ingredient of this success is found to be the optimizations of catalyst metal type and its morphology. Combining the respective advantages of Ag and Au in the MaCE as a Ag/Au bilayer configuration leads to quite stable etch reaction upon a prolonged etch duration up to >5 h. Further, the permeable nature of the optimized Ag/Au bilayer metal catalyst enables the etching of pattern features having very large lateral dimension. Problems such as the generation of micro/nanostructures and chemical attacks on the top of pattern surface are successfully overcome by process optimizations such as post‐partum sonication treatment and etchant formulation control. The method can also be successful to vertical micromachining of Si substrate having other crystal orientations than Si(100), such as Si(110) and Si(111). The simple, easy, and low‐cost nature of present approach may be a great help in bulk micromachining of Si for various applications such as microelectromechanical system (MEMS), micro total analysis system (μTAS), and so forth.  相似文献   

2.
We have fabricated a novel image sensor using Cu(In,Ga)Se2 (CIGS). A combined process of dry etching using HBr and Ar gasses and wet etching using dilute HCl solution was developed as isolation process of CIGS photodiode deposited at 400 °C. Etchant residues of the dry etching, which consist of Cu complex, were almost completely cleaned using the wet etching process and favorable vertical side wall of CIGS films was obtained without mechanical damages. As a result, high performance image sensors with low leakage current of ~ 10− 8 A/cm2 and wide wavelength range up to ~ 1240 nm were achieved. The developed image sensor consisted of 352 × 288 pixels with 10 µm × 10 µm pixel sizes, was able to capture clear images of night scenes.  相似文献   

3.
A simple and inexpensive technique for the simultaneous fabrication of positive (i.e., protruding), very high aspect (>10) ratio nanostructures together with micro‐ or millistructures is developed. The method involves using residual patterns of thin‐film over‐etching (RPTO) to produce sub‐micro‐/nanoscale features. The residual thin‐film nanopattern is used as an etching mask for Si deep reactive ion etching. The etched Si structures are further reduced in size by Si thermal oxidation to produce amorphous SiO2, which is subsequently etched away by HF. Two arrays of positive Si nanowalls are demonstrated with this combined RPTO‐SiO2‐HF technique. One array has a feature size of 150 nm and an aspect ratio of 26.7 and another has a feature size of 50 nm and an aspect ratio of 15. No other parallel reduction technique can achieve such a very high aspect ratio for 50‐nm‐wide nanowalls. As a demonstration of the technique to simultaneously achieve nano‐ and milliscale features, a simple Si nanofluidic master mold with positive features with dimensions varying continuously from 1 mm to 200 nm and a highest aspect ratio of 6.75 is fabricated; the narrow 200‐nm section is 4.5 mm long. This Si master mold is then used as a mold for UV embossing. The embossed open channels are then closed by a cover with glue bonding. A high aspect ratio is necessary to produce unblocked closed channels after the cover bonding process of the nanofluidic chip. The combined method of RPTO, Si thermal oxidation, and HF etching can be used to make complex nanofluidic systems and nano‐/micro‐/millistructures for diverse applications.  相似文献   

4.
Various ion-beam etching characteristics of diamond and selectivity between diamond and spin-on-glass (SOG) were examined. The maximum selectivity of diamond and SOG was 12.7 in oxygen reactive ion-beam etching process at 100 V acceleration voltage. Using this etching condition and dot-shaped SOG mask, conical diamond field electron emitter arrays with 30 nm curvature radius, 2.58 μm base radius and 5.86 μm height were fabricated.  相似文献   

5.
C.Y. Li  A. Hatta 《Thin solid films》2007,515(9):4172-4176
Diamond nanowhiskers were fabricated by etching as-grown and aluminum coated diamond films in radio frequency (RF) Ar/O2 plasma. It was found that diamond nanowhiskers could be obtained by anisotropic etching of both kinds of films. For the as-grown diamond film, the whiskers randomly formed on the diamond surface with higher etching rate. However, for the Al-coated diamond film, an energy dispersive X-ray spectroscopy measurement revealed that the distribution of the whiskers was the same as that of the coated Al particles. During the etching process, Al particles served as masks contributing to restraining the etching of the film underneath. It was found that the distribution of the whiskers was significantly influenced by the Al coating. The whiskers (1 μm in height and 50 nm in diameter) could be obtained under the optimum etching condition. In addition, the dependence of the distribution of the whiskers on Al coating time was demonstrated.  相似文献   

6.
An ultra-fast removal process of a silicon sacrificial layer for the selective release of a metal structure on a Si substrate was studied, which uses a chemical dry etching method. The chemical dry etching of a Si layer was performed in an NF3 remote plasma with the direct injection of additive nitric oxide (NO) gas. When the NO gas was injected into the chamber into which F radicals were supplied from a remote plasma source using NF3 input gas, the silicon layer was removed selectively and the metal structure could be released easily. It was found that the etch rate on the sidewall (up to ≅ 18.7 μm/min for an opening width of 100 μm) and the bottom (up to ≅ 24.5 μm/min for an opening width of 100 μm) depends on the NO/(NO + Ar) gas flow ratio, time duration, and opening width. The developed dry etching process could be used to release a Ni structure with near infinite selectivity in a very short time. The process is well suited for fabricating various devices which require a suspended structure, such as in radio-frequency microelectromechanical system switches, tunable capacitors, high-Q suspended inductors and suspended-gate metal-oxide semiconductor field-effect transistors.  相似文献   

7.
A deep inductively coupled plasma etching process was developed as a part of a continuous effort to develop an all-silica on-chip platform for high-power optical devices. Combined F and Cl based etching chemistry was found most suitable since silica matrix and Al doping are generally etched using different chemistries. First large-core (∼20 × 20 μm) Yb/Al-codoped fused silica waveguides on pure silica substrate were successfully fabricated, featuring ∼1 dB/cm optical propagation loss.  相似文献   

8.
Controlling the thermal radiation spectra of materials is one of the promising ways to advance energy system efficiency. It is well known that the thermal radiation spectrum can be controlled through the introduction of periodic surface microstructures. Herein, a method for the large-area fabrication of periodic microstructures based on multi-step wet etching is described. The method consists of three main steps, i.e., resist mask fabrication via photolithography, electrochemical wet etching, and side wall protection. Using this method, high-aspect micro-holes (0.82 aspect ratio) arrayed with hexagonal symmetry were fabricated on a stainless steel substrate. The conventional wet etching process method typically provides an aspect ratio of 0.3. The optical absorption peak attributed to the fabricated micro-hole array appeared at 0.8 μm, and the peak absorbance exceeded 0.8 for the micro-holes with a 0.82 aspect ratio. While argon plasma etching in a vacuum chamber was used in the present study for the formation of the protective layer, atmospheric plasma etching should be possible and will expand the applicability of this new method for the large-area fabrication of high-aspect materials.  相似文献   

9.
Small sized self-assembled inverted hexagonal pyramids consisting of GaN:Mg and InGaN/GaN multi-quantum-well (MQW) structures were formed using photoelectrochemical wet etching. Lateral etching, bottom-up etching, and anisotropic etching are the formation mechanism of the pyramids during the etching process sequentially. The dimension of these inverted hexagonal pyramids was measured as 245 nm in width and 184 nm in height, and the angle between the top GaN:Mg surface and the pyramid sidewall was calculated at about 56.3°. Due to the strain relief in the nano-disk MQW structure we induced an emission peak of photoluminescence at the tip of the inverted hexagonal pyramid which had a strong blue shift of 244 meV at 100 K.  相似文献   

10.
We report on the formation and optimization of undercut-microholes (UM) generated by a wet etching process. GaN epilayers with 6 μm and 15 μm polygonal holes (PH) were grown by using selective metal organic chemical vapor deposition under identical growth conditions. The samples were wet etched with either a KOH solution or a mixed H3PO4:H2SO4 solution. Both kinds of etching solution produced the formation of UM. In the case of the etching produced with the mixed H3PO4:H2SO4 solution, the angle of UM was varied with an increase of H2SO4 in the solution. The etching produced by the KOH solution was very simple, and it formed a clear UM with an angle of 62°. This was achieved without etching the hard mask because of the selective etching and crystallographic characteristics of the GaN. UM were optimized through etching with PH structures, and the results showed formation of clear UM in a 15 μm PH structure.  相似文献   

11.
This paper deals with the fabrication process of single-crystal silicon carbide (SiC) thin-films and its application to microdevice. SiC thin-film was synthesized using molecular beam epitaxy, where single-crystal SiC layer was grown on single-crystal silicon (Si) substrate. Using lithography and etching process, microscopic cantilevers were fabricated. Typical dimensions of the cantilevers were 10-60 μm in length, 10-30 μm in width, typically 180 nm in thickness. Young's modulus estimated from bending test was almost the same with that of bulk material. Finally, an application is demonstrated where nickel was deposited on the cantilever and biomorphic actuation was carried out. The displacement at the tip was about 2 μm when the temperature change was 40 K. The time constant of the step response was about 0.07 s.  相似文献   

12.
采用紫外激光对触摸屏产品中不可视区域进行刻蚀分析,探究了紫外激光刻蚀原理,讨论了银浆薄膜激光刻蚀与传统印刷工艺异同点,并在此基础上完成一套紫外激光刻蚀系统方案的设计和建造。实验结果表明,选用波长为355nm的紫外激光器,当激光器功率为10W,重复频率100kHz,刻蚀速度为1500mm/s,刻蚀次数1次时,薄膜被完全刻蚀,最终获得功能良好的银浆线路。经测试后发现,所刻蚀后银浆线条平直而光滑,边缘热影响区域较小,最小刻蚀线宽可以达到10μm,基板未受到损伤;与传统印刷工艺相比较,简化了工艺步骤,产品良品率得到提升,是一种无排放的绿色环保先进的刻蚀工艺。  相似文献   

13.
We have investigated the nanofabrication for glass-like carbon molds with electron cyclotron resonance oxygen ion beam etching technologies using polysiloxane [-R2SiO-]n as an electron beam mask and a room-temperature imprint resist material. The maximum etching selectivity of polysiloxane film against glass-like carbon was 27, which was obtained with ion energy of 400 eV. It was found that the optimum etching time to fabricate dots of 500 nm in height was 5 min, which was explored according to the computer simulation. The glass-like carbon molds with square pole and cylinder dots were fabricated with 500 nm in width and diameter, respectively. The optimum imprinting pressure and its depth obtained after the press for 5 min were 0.5 MPa and 0.5 μm, respectively. We carried out the room-temperature nanoimprint lithography process using glass-like carbon molds. The resulting width of imprinted polysiloxane patterns was obtained in good agreement with that of the mold.  相似文献   

14.
We present approaches to reduce the absorber thickness of CdTe solar cells. The investigations were done with CdTe absorber films deposited by the close-space sublimation (CSS) technique. Using these CdTe films, complete solar cells were produced in our own laboratory. The absorber thickness as the crucial parameter was varied between 1 and 11 µm in these experiments. It is analyzed how process steps following the CdTe layer deposition influence the structure of the absorber films as well as the solar cell properties. Three ways of back contact formation are compared. These include (i) the wet chemical etching of the CdTe surface, (ii) a plasma etching step, and (iii) the vacuum deposition of a thin intermediate copper layer. In the latter case, voids and shunts related to preferential etching at grain boundaries are avoided admitting the use of thinner absorber films. Thus, the solar-cell efficiencies were increased from below 9% to more than 10% while the CdTe film thickness was reduced from 11 µm to less than 4 µm.  相似文献   

15.
Abstract

Controlling the thermal radiation spectra of materials is one of the promising ways to advance energy system efficiency. It is well known that the thermal radiation spectrum can be controlled through the introduction of periodic surface microstructures. Herein, a method for the large-area fabrication of periodic microstructures based on multi-step wet etching is described. The method consists of three main steps, i.e., resist mask fabrication via photolithography, electrochemical wet etching, and side wall protection. Using this method, high-aspect micro-holes (0.82 aspect ratio) arrayed with hexagonal symmetry were fabricated on a stainless steel substrate. The conventional wet etching process method typically provides an aspect ratio of 0.3. The optical absorption peak attributed to the fabricated micro-hole array appeared at 0.8 μm, and the peak absorbance exceeded 0.8 for the micro-holes with a 0.82 aspect ratio. While argon plasma etching in a vacuum chamber was used in the present study for the formation of the protective layer, atmospheric plasma etching should be possible and will expand the applicability of this new method for the large-area fabrication of high-aspect materials.  相似文献   

16.
Nanoporous single-crystal silicon carbide (SiC) is widely used in various applications such as protein dialysis, as a catalyst support, and in photoanodes for photoelectrochemical water splitting. However, the fabrication of nano-structured SiC is challenging owing to its extreme chemical and mechanical stability. This study demonstrates a highly-efficient, open-circuit electrolytic plasma-assisted chemical etching (EPACE) method without aggressive fluorine-containing reactants. The EPACE method enables the nano-structuring of SiC via a plasma-enveloped microtool traversing over the target material in an electrolyte bath. Through process design, EPACE readily produces a uniform nanoporous layer on a 4H-SiC wafer in KOH aqueous solution, with adjustable pore diameters in the range 40–130 nm. Plasma diagnosis by optical emission spectrometry (OES) and surface microanalysis reveal that EPACE realizes a nanoporous structure by electrolytic plasma-assisted oxidation and subsequent thermochemical reduction of an oxide. An increase in voltage or a decrease in etch gap intensifies the plasma and improves the etching efficiency. The maximum etch rate and depth reach 540 nm min−1 and 10 µm, respectively, demonstrating the significant potential of the approach as a time-saving and sustainable nanofabrication method for industrial applications. Further, the effectiveness of the fabricated SiC nanoporous structure for application in photoelectrochemical water splitting is demonstrated.  相似文献   

17.
Kum-Pyo Yoo 《Thin solid films》2008,516(11):3586-3589
These days, MEMS-based thin-film thermopiles are mainly fabricated anisotropically by wet-etching process at the back of the wafer. Their backside etching process is, however, complex, expensive, and wastes a large amount of silicon real estate. On the other hand, the front-side etching has better reliability of photolithography and also makes it possible to fabricate smaller micro-thermopile, as compared to that obtained from backside etching. In this paper, a thin-film thermopile is fabricated on a micro-bridge structure created by using the front-side etching with XeF2 gas. The resulting device is about 50% smaller in size than that of the conventional chip. The output voltage of the device is found to increase by 2.13 times and the Seebeck coefficient to enhance by 0.17 μV/°C, due to less heat-flow from hot junction to cold junction and the increase in aluminum etching hole area.  相似文献   

18.
A novel high-speed, high-sensitivity capacitive-type humidity sensor is fabricated by using a new microfabrication process involving combination of directional and isotropic etching with inductively coupled plasma (ICP) etcher and a localized curing of polyimide films on a micro-hotplate. The polyimide humidity sensor is found to have a sensitivity of 0.75 pF/%RH, a linearity of 0.995, a hysteresis of 1.32%RH, a time response of 3 s, and a temperature coefficient of 0.22%RH/°C. This high-sensitivity and high-speed device is achieved using the locally-cured polyimide and a sensor structure having many holes and allowing moisture to diffuse through the top and side surfaces of polyimide film.  相似文献   

19.
在硅片表面制备绒面结构能够有效降低太阳光在硅片表面的反射损失,是提高太阳能电池转换效率的一条重要途径。通过真空热蒸发法在多晶硅片上沉积纳米银颗粒,利用金属辅助化学腐蚀(MACE)法,制备了不同腐蚀时间下的纳米绒面结构,其中,腐蚀时间为60s的纳米绒面的平均反射率低至4.66%(300~1100nm)。同时,对腐蚀时间为60s的纳米绒面用KOH溶液进行优化处理,将KOH处理前后的多晶硅片采用常规电池工艺进行电池制备研究。对比发现,经过KOH处理后的电池效率比未经KOH处理的电池效率提高了0.43%。  相似文献   

20.
Fresnel zone plates (FZPs) for soft X-ray microscopy with an energy range of 284 eV to 540 eV are designed and fabricated in a simple method. An adequate aspect ratio of the resist mold for electroplating was obtained by the proximity effect correction technology for an incident electron beam on a single thick layer resist. Without additional complicated reactive ion etching, a sufficient electro plating mold for nickel structures was fabricated. The overall fabrication procedures which involve a mix-and-match overlay technique for electron beam lithography and an optic exposure system that centers the membrane on the nanostructures, and hybrid silicon etching technology in junction with deep anisotropy and a KOH wet method in order to release the backside Si substrates of the Si3N4 membranes with no deformation of FZPs are introduced. High quality nanostructures with minimum outermost zone widths of 50 nm and diameters of 120 microm were fabricated with simplified fabrication process and with cost-effective.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号