首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 218 毫秒
1.
针对RZJ-304(25 mp.s)型正性光刻胶,对芯层为PMMA的光波导材料,研究接触式光刻机提高光刻分辨率的方法.分别采用不同的曝光强度和曝光时间来改变曝光量,研究其对光刻图形宽度的影响;并通过改变曝光后烘焙(PEB)的温度和时间以及采用不同的显影时间,研究了其对光刻图形宽度的影响,从而得出优化的光刻工艺参数.  相似文献   

2.
首次采用扫描式光刻机开展基于101.6mm(4英寸)砷化镓晶圆的130nm光刻工艺研究,通过研究Si片与GaAs片表面状态的差异,确认基于扫描式光刻机实现GaAs晶圆130nm光刻工艺需以GaAs片为基础调整设备状态,较好地实现了GaAs晶圆130nm光刻图形。同时尝试采用不同工艺方法(蒸发Ti金属薄膜、涂覆PMGI光刻胶以及生长SiN薄膜)处理GaAs晶圆表面,有效提高了圆片焦平面参数稳定性。进一步研究了图像倾斜参数对曝光图形形貌以及线宽均匀性的影响。  相似文献   

3.
光刻是制备碲镉汞红外探测器芯片过程中非常关键的工艺。目前绝大部分碲镉汞芯片制备都是使用接触式光刻技术,但是在曝光面型起伏较大的芯片时工艺均匀性较差,并且掩膜在与芯片接触时容易损伤芯片。针对接触式光刻的这些缺点,利用尼康公司生产的缩小步进投影光刻机开发了用于碲镉汞芯片的步进式投影曝光工艺。对设备的硬件和软件均进行了小幅修改和设置,使其适用于碲镉汞芯片。经过调试后,缩小步进投影光刻机在某些面型起伏较大的芯片上取得了更好的曝光效果,光刻图形的一致性得到了提升。实验结果表明,缩小步进投影光刻技术能够提高碲镉汞芯片的光刻质量,并在一定程度上改善了芯片制备工艺。  相似文献   

4.
<正> 概述 光刻机是生产半导体器件的重要工艺设备之一,它可分为工作台对准系统和光学曝光系统两大部分。对于一台性能优良的光刻机光学曝光系统起着不容忽视的作用。近代发展起来的光刻机在曝光系统上采用了一系列新技术,例如在光学设计上采用复眼透镜组来减少光学衍射效应,提高光的均匀性;在幅照光源上采用恒光强控制(如Rarlsu-ss,uv系列光刻机);对曝光时间采用积分曝光(如Canon PLF-500系列光刻机)等。本文仅从电学角度来论述光刻机的曝光控制系统,并对某些专题进行较详细地分析,以便读者可有一深入和系统了解。  相似文献   

5.
针对分辨力100nm的ArF光刻机,在环形照明和四极照明下,对4种曝光图形结构光刻性能进行了仿真研究。仿真结果表明,如果光刻物镜在加工装调后的光波像差为6nm,杂散光为2%,工件台运动标准偏差为8nm,曝光量控制在10%,CD≤±10%CD,利用四级照明,可以在较大的焦深范围内(DOF≥0.4~0.5μm)实现满足器件要求的100nm密集线条、半密集线条的光刻成像。当曝光剂量更精确控制到7%,可以在较大的焦深范围内(DOF≥0.4~0.5μm)实现满足器件要求的100nm孤立线条的光刻成像。  相似文献   

6.
本文以PLA-501F光刻机为例,研究如何使光刻机的分辨率和对准精度得到充分发挥。指出了光源、光刻胶、滤光片和主物镜的相互匹配是充分发挥光刻机分辨率的关键问题;在提高光刻工件的对准精度方面,牵涉到母板的套准图形设计及对准时的技巧。本文还分析了接近式曝光和接触式曝光的主要优缺点。  相似文献   

7.
介绍了双面光刻机的类型、工作原理及特点,研究分析了双掩模光刻机的曝光方式,提出了一种通过改进曝光模式、提高双掩模光刻机曝光分辨率及基片曝光线条均匀性的方法,并通过生产线工艺验证及数据分析,得出通过该工艺曝光模式,满足生产线实际工艺要求。  相似文献   

8.
提出了一种基于投影式光刻机和电子束光刻机混合曝光技术的新型亚微米图形制作方法,该方法可用于制作对精度要求比较高的亚微米图形。具体的做法是将亚微米图形分解成高精度图层和普通精度图层,并将两个图层分别采用电子束直写和投影式光刻机依次在同一层光刻胶曝光后,经过一次显影得到完整图形。通过该方法不仅可以大幅减少采用电子束直写亚微米图形所需的时间,还可以有效地保证图形的线宽精度。从图形的数据处理和实验制作两个方面,详细地介绍了采用该方法在硅衬底上制作SU-8亚微米图形的过程。经SEM测试得出,本方法制作的图形尺寸精度和棱角的锐度都非常精确,可比较理想地实现设计者的设计要求。  相似文献   

9.
通过介绍光刻技术的演变和所面临的挑战,揭示下一代光刻技术的发展潜力和研究现状. 通过比较几种具有较大潜力的NGL(浸没式ArF光刻机、极紫外光刻和电子束曝光)的特点、开发现状和有待解决的关键技术,预言将来可能是以极紫外光刻、电子束曝光和某种常规光刻机结合的方式来实现工业、前沿科学技术需要的各种微米/纳米级图形的制备.  相似文献   

10.
下一代曝光(NGL)技术的现状和发展趋势   总被引:1,自引:1,他引:0  
通过介绍光刻技术的演变和所面临的挑战 ,揭示下一代光刻技术的发展潜力和研究现状。通过比较几种具有较大潜力的NGL(浸没式ArF光刻机、极紫外光刻和电子束曝光 )的特点、开发现状和有待解决的关键技术 ,预言将来可能是以极紫外光刻、电子束曝光和某种常规光刻机结合的方式来实现工业、前沿科学技术需要的各种微米 /纳米级图形的制备。  相似文献   

11.
A methodology for on-line process control of uniformity is developed based on the division of process variability into two categories: that which can be effectively controlled on-line and that which must be optimized off-line. This categorization is based on the physics of the process and equipment. In the case of axisymmetric single wafer processing, the radial uniformity can be controlled on-line, as a number of process parameters will have a direct influence on the radial uniformity. However, circumferential uniformity is not directly influenced by any process parameters and must be optimized off-line. The choice of process parameters to effect on-line control is designed to decouple the successive stages of optimization and control and is guided by the formulation of appropriate performance metrics. The methodology presented simplifies on-line control and recommends a narrower goal for its implementation, one that can be achieved with minimum risk of inadvertently degrading the performance of the process. The methodology is applied to single wafer plasma oxide and polysilicon etching processes. It is shown that radial uniformity is improved by applying on-line control while minimizing the impact on circumferential uniformity, with the result that overall uniformity within a wafer is improved. The methodology is also successfully applied to effect a step change in the radial profile of etching rate  相似文献   

12.
郑刚 《电子测试》2017,(14):41-43,34
本文研究了批处理式离子注入机工艺过程中的电荷交换效应,该效应使得注入杂质浓度偏离设定值并且面内分布变差;为防控此种不良模式,可以通过收紧腔室端真空容限范围,但更为有效的方式是在注入中采用真空补偿,实验数据证明,采用优化的真空补偿系数可以在带光阻的硅片上获得更具良好重复性的掺杂浓度和更加均匀的面内分布.  相似文献   

13.
Temperature uniformity of a wafer during post-exposure bake (PEB) in lithography is an important factor in controlling critical dimension (CD) uniformity. In this study, a new hot plate system for the PEB of a 300-mm wafer was analyzed and designed. First, temperature deviation on the wafer caused by warpage was investigated, and the heater pattern of the multi-zone hot plate in the bake system was numerically analyzed. Then, a new heater pattern to enhance the temperature uniformity was proposed and tested experimentally. As a result, temperature uniformity within 0.087 °C on a 300-mm wafer was achieved.  相似文献   

14.
In the photolithography processing of semiconductor, line width is smaller and smaller. Therefore, the requirements of process window are stricter than before. In the small line width, the formation of serious white wall will affect line width and cause rejects in following process. The study conducted research on the control of best focus in which particularly explored the relationship between exposure dose and line width and the phenomenon of white wall generated by focus. The research obtained related coefficients of exposure dose-line width and exposure dose-white wall by coating photo resist of different components with the same thickness on the surface of fused silica wafer. The results of research found that exposure dose might not only change line width but also had important effects on white wall. Among others, the most important factor for exposure dose is the component of sensitivity of photo resist.  相似文献   

15.
宽微带X射线分幅相机的研制   总被引:1,自引:0,他引:1  
研制了应用于平焦场光栅谱仪系统的宽微带X射线分幅相机,微通道板(MCP)微带阴极的宽度为20mm,由四路选通脉冲同时驱动。分幅相机采用模块化设计,由气室、MCP变像管、电控系统、光学CCD记录系统和内嵌式计算机组成,内嵌PC104模块实现相机的远程控制。对相机进行联调实验,测得该相机的时间分辨率为71ps,空间分辨率为20lp/mm,垂直于选通脉冲传播方向的微带均匀性为1.5…1,平行于选通脉冲传播方向的微带均匀性为5.1…1。  相似文献   

16.
We have studied lamp configuration design for rapid thermal processing (RTP) systems. We considered a configuration consisting of four concentric circular lamp zones, three of them above the wafer and one circumventing the wafer. We propose a method to determine the geometric parameters, the width, height and radius, of the lamp zones so that the configuration designed has the capacity to achieve a uniform temperature on the wafer. The method is based on a necessary and sufficient condition for uniform temperature tracking and analytic expressions of the view factors. A design example is given in which a least square open-loop control law yields good temperature uniformity  相似文献   

17.
Developing efficient wafer cleaning and rinsing requires technology for on-line monitoring of the wafer cleanliness. An electrochemical sensor is designed, fabricated, and tested to measure the concentration of residual contaminants on the wafer surface during the rinse process. The sensor is based on the real time and in situ measurement of electrical impedance across a trench microstructure. The sensor's output signal is proportional to the concentration of impurities remaining in the trench and, therefore, is a measure of the progress of the rinse in cleaning the wafer surface. Electrical measurements, circuit analysis, and direct testing of the device at known impurity levels are used to select and design a suitable sensor configuration. The results confirm the feasibility and sensitivity of the device applicable to typical wafer rinsing conditions.  相似文献   

18.
随着光刻机分辨力的提高,其焦深日益缩小。针对如何充分利用有限的焦深完成Si片的高效曝光,对步进扫描光刻机和双工件台光刻机的调平调焦原理进行了介绍,主要包括基于双光栅的检焦测量原理及检焦扫描路径规划,把Si片表面高度信息转化为离焦量和倾斜量等调平数据的算法,以及曝光位置实现调平调焦的伺服控制系统等,并从理论上对两系统的优缺点进行了对比。最后利用一组调平实验结果说明了双工件台系统调平调焦技术的优越性,指明了调平调焦技术的发展方向。  相似文献   

19.

Digital microfluidic biochips (DMFBs) are widely used in the field of biochemistry. Effective off-line and on-line test for the biochips are required to ensure the system reliability. For direct addressing digital microfluidic biochips (DDMFBs), each control pin corresponds to only one electrode, and that can facilitate the testing of such biochips. However, in pin-constrained digital microfluidic biochips (PDMFBs), multiple electrodes may share one control pin, and thus the testing will be more difficult. In this paper, the pin constraint formula for PDMFBs with connect-5 structure is derived. A novel pin assignment scheme is also proposed, which can conduct on-line test that rarely considered by the previous methods. Furthermore, a hybrid method combining the priority strategy and genetic algorithm is introduced for the on-line test of pin-constrained digital microfluidic biochip with connect-5 structure. The simulation results show that the shortest test path acquired by the proposed method is equal to the optimal value of Euler path, which indicates that the method can effectively implement the on-line test of PDMFBs with connect-5 structure.

  相似文献   

20.
源在外延片直径方向上的耗尽导致了外延片上局部各点的生长速率及掺杂浓度是个随位置变化的量,因此造成了外延片厚度及浓度的不均匀性.通过引入基座气浮旋转可以有效降低这种不均匀性,在典型工艺条件下,采用基座旋转,76.2 mm 4H-SiC外延片厚度不均匀性、p型掺杂浓度不均匀性和n型掺杂不均匀性分别为0.21%、1.13%和...  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号