首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 62 毫秒
1.
基于DSP Builder的14阶FIR滤波器的设计   总被引:1,自引:0,他引:1  
张淼  伏云昌 《现代电子技术》2007,30(21):185-186
数字滤波器在数字信号处理的各种应用中有着广泛的应用。数字滤波器既可以是有限长单脉冲响应(FIR)滤波器也可以是无限长单脉冲响应(IIR)滤波器。通过两者特点的比较,按照Matlab/Simulink/DSP Builder/QuartusⅡ的流程,设计了一个14阶的FIR滤波器,并进行了相关仿真,提出了一种在实际硬件中测试的方法,从而验证了采用DSP Builder实现滤波器设计的硬件化的独特优势。  相似文献   

2.
基于FPGA的FIR升余弦滚降滤波器设计与实现   总被引:1,自引:0,他引:1  
为了降低FIR滤波器对FPGA资源的消耗,同时能够直接验证其滤波性能。文中采用乘法器和加法器共享以及MEALY型状态机的实现方法,以及卷积、插零等算法,来实现FIR升余弦滚降滤波设计,同时给出了在Quartus II环境下的时序仿真结果。实践表明,此方法可以节省大量的FPGA资源,仅仅需要100多个LE逻辑单元,就可以有效解决FIR数字滤波器算法在FPGA设计中资源紧张的问题。  相似文献   

3.
丁丹 《电子科技》2005,(9):29-32
为了降低FIR滤波器对FPGA资源的消耗,同时能够直接验证其滤波性能,本文介绍了基于加法器网络的FIR滤波器的实现方法,以及系数的CSD码、最优CSD码表示方法,并引出了更加高效的简化加法器网络法.以一个32阶FIR低通滤波器的实现为例说明了设计的过程,巧妙结合MATALB与QuartusⅡ对所设计的滤波器进行了验证.实践表明,该方法节约资源,调试方便.  相似文献   

4.
32阶FIR滤波器的FPGA实现   总被引:1,自引:0,他引:1  
范晓东  蔡德林  桂岳  梁本仁 《现代电子技术》2009,32(21):186-188,203
阐述了有限冲击响应(FIR)低通滤波器的窗函数设计方法,利用并行分布式算法在现场可编程门阵列上实现了32阶FIR低通滤波器。采用Altera公司中Stratix系列芯片内部的ROM实现了一种基于查找表结构的FIR数字滤波器,从而将卷积运算变换成一种查表后的加法运算,提高了运算速度,节省了逻辑单元。仿真结果表面,基于并行分布式算法.的FIR滤波器最大处理速度可以达到200MHz。  相似文献   

5.
王一海 《电子器件》2012,35(5):545-548
分布式算法(DA)是FPGA中实现FIR滤波器的重要手段。采用基本DA算法实现较高阶数的FIR滤波器时,占用的硬件资源较高,且随着变量的位数增加,其串行运算的特点也使其运行速度不高。为此,运用并行式的分布算法,将原LUT分解为若干较小LUT,并使参加运算的各变量各位组合同时送达查找表。QUARTUSⅡ仿真结果表明,滤波效果良好,资源消耗减少,运行速度显著提高。  相似文献   

6.
谢海霞 《电子器件》2012,35(2):232-235
介绍了FIR滤波器的基本的线性相位结构及FIR滤波器的抽头系数SD算法编码。给定滤波器的数字指标,用MATLB设计抽头系数,最后用Verilog HDL语言实现了一个16阶的FIR低通滤波器并在QuartusⅡ上仿真,并对仿真结果与理论值进行比较,波形仿真结果和理论值相吻和,最后将编程数据文件下载到FPGA芯片上。对于不同性能的FIR滤波器,抽头系数是变化的,因此只要对本设计的抽头系数重新在线配置,就可以实现不同的FIR滤波器。  相似文献   

7.
谢海霞  孙志雄 《电子器件》2012,35(5):554-557
介绍了FIR滤波器的基本结构及设计方法,结合实例,给定滤波器的数字指标。利用FDATool来确定FIR滤波器抽头系数。基于DSP平台,采用MATLB产生待滤波输入信号导入到用C语言实现的FIR低通滤波器中,并且在CCS上仿真,对仿真结果与理论值进行比较。波形仿真结果和理论值相吻和表明设计的系统是正确、稳定的。不同的应用场合,FIR滤波器要求有不同性能,只要修改本设计中滤波器的系数,就可以实现性能不同的FIR滤波器。  相似文献   

8.
根据有限冲击响应(FIR)数字滤波器的原理,综合运用Matlab和FPGA的QuartusⅡ两大计算机软件,提出了一种利用QuartusⅡ中参数化宏功能模块(LPM)的FIR滤波器设计方法。首先利用Matlab设计滤波器系数,再利用QHartusⅡ的LPM构造的乘法器和加法器,最终得到滤波结果。相比分布式算法,该法在信号处理速率要求不高,且滤波器阶数较高的情况下,可更加简单地实现滤波效果。最终在Matlab和QuartusⅡ的基础上,实现了64阶的高阶FIR数字滤波器电路的设计与仿真。  相似文献   

9.
FIR数字滤波器广泛应用在数字通信系统中,研究其实现方法具有重要意义。Matlab功能强大,利用Matlab辅助设计DSP应用程序,可大大缩短DSP应用程序的开发时间。介绍了Matlab和CCS及DSP的连接,说明了利用Matlab辅助DSP实现FIR数字滤波器的过程,及如何在Matlab环境中调试DSP程序,给出了实现结果,并把该结果和单纯在Matlab环境下实现结果进行比较,前者效果更好,更具有实际应用价值。  相似文献   

10.
FIR滤波器由于其严格的线性相位特性具有广泛的应用范围。用DSP芯片实现数字滤波除了具有稳定性好、精确度高、不受环境影响等优点外,还具有灵活性好的优点。所以基于DSP的FIR滤波器的设计具有重要意义。  相似文献   

11.
通过对语音信号特性进行分析,利用传统的数字处理方法进行算法推导,以Quartus Ⅱ、Matlab/simulink软件为基础,用Verilog HDL语言来实现FIR数字滤波器的仿真,并对DSP Builder和FPGA所设计出的FIR低通滤波器功能进行比较、仿真和分析,通过仿真结果验证该方案的可行性,对于以后FPGA滤波器的实现,具有一定的参考应用价值。  相似文献   

12.
基于DSP Builder的BPSK调制解调器设计   总被引:1,自引:0,他引:1  
王成元  徐慨  冯延青 《通信技术》2010,43(5):67-68,71
根据BPSK调制解调器的基本理论,采用DDS(直接数字合成)技术在不同频率信号的切换时能保持相位连续的优点来设计BPSK调制模型,用Matlab/Simulink下的Altera DSP Builder工具箱内的模块对直接数字频率合成器DDS(Direct Digital Synthesizer)进行建模;并基于该DDS模块实现BPSK(二进制相移键控)调制器和解调器的设计,在Simulink下对此模型进行仿真,验证了模型的正确性。此设计简化了系统的设计过程,提高了BPSK调制解调系统的可靠性与灵活性,而且修改方便、成本低,对硬件理论知识要求不高,实现起来容易,加快了开发速度。  相似文献   

13.
利用混沌系统进行保密通信的研究已成为国内外混沌理论研究的重要环节。此研究不仅局限在理论研究与仿真实验上,而构造混沌保密通信系统也已成为重要研究方向之一。设计混沌保密通信系统,数字微分器是重要环节。本文主要论述了用DSP Builder软件工具设计数字微分器的过程。同时将设计的微分器模块封装为独立的模块,并对这个封装后的模块进行了大量的实验,用实验结果验证了设计方法的有效性与实用性。  相似文献   

14.
基于Matlab/DSP Builder任意波形信号发生器的两种设计   总被引:1,自引:1,他引:0  
根据传统型任意波形信号发生器和基于DDS任意波形信号发生器的设计原理,采用Matlab/DSP Builder的建模方法,在DSPBuilder平台上完成两种原理的系统建模和仿真,并用Signal Compiler工具对模型进行编译,产生Quartus Ⅱ能够识别的VHDL源程序,并通过FPGA芯片EP2C8Q208c来实现,最后用SignalTapⅡ进行硬件测试。经系统仿真和硬件测试,证明两种设计方法的正确性。比较传统的硬件描述语言建模,该方法设计简单、修改方便、成本低、不涉及到任何编程,对硬件理论知识要求不高,实现起来容易。  相似文献   

15.
董亮  汪敏  郝龙飞  董江 《现代电子技术》2009,32(16):92-94,99
设计分析了Chirp函数在时域和频域内的一般特点和解析公式.提出首先在Altera DSP开发工具DSP Builder中实现直接数字合成器(DDS)模块,根据Chirp函数特定的输入/输出(线性和非线性)关系,计算出当前输入字与输出频率的对应关系;然后设计控制字子模块产生DDS模块的频率控制字,驱动DDS产生不同的输出频率,通过在Matlab的Simulink环境下的仿真验证,得出不同时刻输出的频谱图,验证了该设计能很好地实现Chirp信号源.  相似文献   

16.
基于DSP Builder的MSK调制解调系统设计   总被引:1,自引:0,他引:1  
阐述了DDS(直接频率合成)的基本理论,并对其理论实现做了详尽的理论分析。在此基础上介绍了采用DDS进行MSK(最小频移键控)数字调制的一般方法,还讨论了差分解调的一般理论,推导出了相关理论结果。最后介绍了采用美国Altera公司推出的快速FPGA开发环境DSPBuilder系统设计工具进行数字系统设计的一般方法,并采用此方法在FPGA芯片上实现了MSK数字调制解调系统。  相似文献   

17.
基于DSP Builder的通用调制信号发生器设计   总被引:3,自引:0,他引:3  
介绍了基于2ASK,2FSK,BPSK,MSK和64QAM等多种通用调制器的调制原理,并提出了一种基于DDS技术的调制方式.然后在DSP Builder上进行系统设计与仿真,经验证该系统可以成功实现多模式信号调制功能,并且具有较好的可扩展性和灵活性.最后用FPGA实现,并用SignalTapII进行硬件验证.  相似文献   

18.
基于DSPBuilder的DDS设计与实现   总被引:1,自引:0,他引:1  
DDS技术应用广泛,设计和实现DDS的方法有多种,随着EDA技术和FPGA器件的发展,应用FPGA实现DDS具有灵活性好、价格较低、研制周期短等优点。DSP Builder是Altera公司的系统级DSP开发软件,应用DSP Builder设计DDS,可根据DDS原理实现模块化设计,使设计更为直观和简化,结合Matlab软件的设计与调试功能,使系统仿真更为简便。将设计下载到硬件中运行,测试结果表明,应用DSP Builder设计DDS方案切实可行,输出波形频率范围较宽,波形稳定度和分辨率较高。  相似文献   

19.
为了克服模拟电路分数阶混沌系统设计易受外界条件影响,提出了一种基于DSP Builder设计分数阶混沌系统的方法.以分数阶Jerk系统为例,采用一种数字差分算法设计混沌系统,分析了分数阶混沌系统的动力学特性.仿真结果表明,分数阶混沌系统的DSP Builder设计方法是一种有效的分析方法,这为分数阶混沌系统的数字设计提供了新的思路.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号