首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 111 毫秒
1.
重点阐述了USB2.0协议层关键模块的RTL级设计和验证,给出了采用硬件描述语言进行VerilogHDL编程设计的基本方法,同时通过ModelSim6.1仿真及ISE7.1综合结果验证了其USB协议层模块的设计正确性。  相似文献   

2.
姚成宇  唐宁  汪洋 《电子科技》2009,22(12):34-37
重点阐述了USB接口IP核关键模块的设计和验证,用VerilogHDL对USB IP核协议RTL级代码编写,对USB协议的数据流、传输等进行了深入的分析,在Xilinx ISE软件平台上进行了FPGA综合,并在Xilinx FPGA开发板上调试成功。通过在ModelSim6.0上仿真、ISE9.1上综合并在FPGA开发板上验证,最后采用0.35μm CMOS工艺实现版图设计,工作频率120MHz,3.3V电压时工作电流9mA,静态电流40μA。结果表明文中USB接口IP设计是可行的。  相似文献   

3.
在分析了USB2.0协议层通信原理的基础上,采用模块划分方法,将协议层划分为3个主要模块,运用Verilog HDL语言完成了RTL(寄存器传输级)设计,并在Cadence软件Verilog-XL上通过了行为仿真。最后通过Xilinx公司的FPGA Virtex芯片加以实现,以Xilinx ISE软件布线后,仿真结果显示速度达到52MHz,完全满足USB2.0协议的要求。  相似文献   

4.
USB协议层的设计与实现   总被引:1,自引:0,他引:1  
在分析了USB2.0协议层通信原理的基础上,采用模块划分方法,将协议层划分为3个主要模块,运用Verilog HDL语言完成了RTL(寄存器传输级)设计,并且就控制传输为例子对该设计运用NC—Verilog通过了功能仿真。  相似文献   

5.
基于AVR单片机的通用USB接口模块设计   总被引:3,自引:0,他引:3  
以AVR单片机ATmega8和USB接口器件PDIUSBD12为核心,基于标准的USB1.1协议,设计一种通用USB接口模块,以满足嵌入式系统中对USB接口的需求。对模块的硬件电路或单片机固件程序的硬件接口层稍加修改即可用于其他各种微处理器。该模块可为各种嵌入式系统增加USB接口,实现与USB主机系统通信。  相似文献   

6.
杨磊  蒋念平 《信息技术》2013,(3):121-123,126
USB(通用串行通信总线)因其自身支持热插拔、高速数据传输和易于扩展的特点,使其成为了当前主流的应用总线。文中介绍了USB的系统总线和Linux系统下USB驱动模块。论述在三星S3C2440芯片上基于OHCI协议的USB主控制器驱动程序的设计方法和实现。  相似文献   

7.
基于Cypress公司的USB主/从控制芯片SL811HS,开发了符合USB1.1协议的主动、从动USB接口模块。该模块工作在主动模式时,可以对U盘进行读、写等操作;工作在从动模式时,可以与PC机通信。本文详细介绍了主动、从动USB接口的开发过程以及两者在硬件设计、软件编程上的区别。  相似文献   

8.
为满足FPGA与PC之间的通信需求,提出了一种FPGA的通用异步收发器设计实现方法。在Xilinx ISE 11开发平台上采用Verilog HDL硬件描述语言及其自带的IP CORE,实现了UART精确波特率时钟模块、UART发送模块和UART接收模块。并在ISE环境下进行综合建模仿真,给出各模块的仿真时序图以及综合生成的RTL图。实验通过Xilinx公司的XC2VP30 FPGA开发板对程序进行下载运行调试,与PC进行实时通信,结果表明,UART控制器工作稳定可靠,较好地实现了数据串行通信,达到预期设计要求。  相似文献   

9.
文中分析了红外线遥控器系统的数据编码和传输机制,并用VerilogHDL语言设计了基于FPGA的红外接收模块硬件电路,在VCS和VirSim仿真工具中进行了仿真测试;用Xilinx ISE10.1软件进行了综合、适配和FPGA器件下载测试,结果表明该电路实现了数据接收和显示的目的,符合红外遥控数据传输协议。  相似文献   

10.
USB 2.0控制器支持USB 2.0协议和UTMI接口规范,其一侧通过UTMI接口连接到物理层(PHY)与USB设备通信,另一侧通过功能接口连接到微处理器。USB 2.0控制器主要由协议层、UTMI接口、功能接口、仲裁和存储器接口组成。通过对各模块功能分析,并在仿真软件中进行仿真验证,控制器满足通用设备数据传输要求。  相似文献   

11.
USB接口以其简单通用的特点成为消费类连接协议的首选.但随着多媒体技术的快速发展,USB 2.0已无法满足现在的高速应用需求.USB3.0以其5 Gb/s的传输速率和向后兼容等特点,成为下一代高速连接标准.根据USB3.0协议架构,文中分别从物理层、链路层、协议层和架构层对USB3.0的数据传输协议进行分析,并与USB2.0协议进行比较.最后介绍了基于EZ-USB FX3芯片的USB3.0加密U盘的实现方法.  相似文献   

12.
USB设备的工作方式是被动的,即只能响应主机的请求.针对这一特点,为满足USB设备相互通信的需求,提出了一种USB设备互连通信协议.该协议利用传统的USB总线拓扑结构,在USB协议的基础上增加了新的USB转发层,并通过主机轮询的方式获取通信请求,通过USB转发层转发数据包的方式处理通信.为建立USB设备之间的可靠通信链...  相似文献   

13.
郭瑞 《电子质量》2008,(2):16-19
AU6842芯片是一款数字音频soc芯片,其中集成了USB主机控制器,可以用单芯片实现USB数字音频模块.利用该芯片设计的USB数字音频模块通过标准串行口同音响主控制器通信,处理音响主控制器发出的操作命令,实现从USB存储设备中读取并播放MP3文件.本文将介绍USB数字音频模块的结构和各个子模块的功能:针对USB音频模块功能分析AU6842各个功能模块的技术参数,提供USB音频模块的硬件电路设计;描述该模块控制软件的通信协议和模 块的工作状态.  相似文献   

14.
在基于WinCE构建的嵌入式系统中EBoot下载功能尤为重要。EBoot原始方案中具有网口与串口下载的实现而缺乏通过USB进行下载的功能。在USB功能单元层次上提出一种数据传输协议,并基于此协议在SEP5010嵌入式平台上实现EBoot下的USB数据传输,从而实现EBoot对USB下载功能的支持。实验结果表明,该方案可以达到799 kB/s的数据平均传输速度,在不增加额外电路的前提下实现了SEP5010平台上EBoot的USB数据下载功能。  相似文献   

15.
在此以杭州中天32位RISCCPUCK510为内核的HM1521_B芯片上,基于μC/OS-Ⅱ操作系统,实现对USB设备的读写控制。系统采用嵌入式USB主机控制软件的分层结构,着重阐述FAT32文件系统、USB设备枚举和BULK—ONLY传输的具体实现过程。为了节省硬件资源,放弃了USB协议中的繁文缛节,抓住协议核心,设计了精简高效的驱动程序。考虑到各种U盘的不同特性,增强了驱动兼容性方面的设计。整个阶段都由逻辑分析仪给出实测数据抓包截图。  相似文献   

16.
董剑  李同合 《电子设计工程》2012,20(16):119-121,125
通用串行总线(USB)数据传输中要对数据进行非归零翻转(NRZI)编解码、添加/去除位填充和串并/并串转换。添加/去除位填充使每字节数据传输所需的时间发生变化,再加上收发器与协议层的时钟频率不同,从而可能导致数据紊乱。以USB 2.0设备控制器中的收发器为例,在收发器与协议层间添加异步先入先出存储器(FIFO)作为缓存区可以解决这一问题。EDA软件仿真验证了该方法的可行性。  相似文献   

17.
在USB Open Core的基础上,对USB接口引擎进行RTL级的Verilog HDL语言功能设计和FPGA兑现验证。主要介绍了USB通讯协议的基本原理,根据USB接口引擎的结构框图,说明各功能模块的设计思路和方法,并在ModelSim中进行功能仿真分析,最后下载到Xilinx公司的FPGA中进行综合。  相似文献   

18.
许杨  胡晨  姚国良   《电子器件》2007,30(2):651-653,657
镜像下载功能是嵌入式系统中bootloader程序的一个重要功能,对嵌入式系统开发效率影响较大.本文在USB设备的功能单元层定义了简单的数据传输协议,并基于此协议在PXA255平台上实现了bootloader下的镜像下载功能,实践证明该方案可以在简单的硬件配置上达到较快的传输速度.  相似文献   

19.
介绍了嵌入式设备PC套件系统的功能需求和用途,阐述了基于USB全速传输的PC套件系统的构成以及基于S3C2440a芯片的嵌入式端和PC端两端交互模式和上层私有协议的设计,并分别给出了PC套件中两端的底层驱动和上层应用的实现方法,实践证明了方案的可行性.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号