首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
用CPLD实现硬件直线插补器   总被引:4,自引:4,他引:4  
介绍了采用CPLD器件设计的硬件直线插补器及其优点,它经过环形分配器及放大电路,同时控制二维 (X向、Y向)步进电机快速实现直线绘制。它比利用软件实现的直线插补法速度快,精度高,适用于数字控制的机械加工或绘图设备。  相似文献   

2.
可编程控制系统软,硬件互锁的设计   总被引:1,自引:0,他引:1  
以利用可编程控制器进行系统控制的两个实际例子,来说明在控制系统设计时,利用软件内部存储单元上部控制电路之间的逻辑互锁,进行系统设计的思想。  相似文献   

3.
基于CPLD的液晶显示屏与嵌入式处理器的接口设计   总被引:6,自引:5,他引:6  
介绍了基于CPLD实现的TFT液晶显示屏与ARM嵌入式处理器接口设计方案。在对S3C2440A内置TFT-LCD控制器和LQ035Q7DH01液晶显示屏的时序详细分析基础上,提出了对CPLD的功能设计要求,并使用VHDL语言进行设计描述、功能仿真和时序分析。这种灵活的设计方案提高了系统的兼容性,降低了开发成本。  相似文献   

4.
提出一种基于单片CPLD的直流PWM控制器,具有脉宽调制,共态锁存保护,模式选择和频率可编程等功能,且调整灵活,适用性强,给出了顶层逻辑图,HDL程序及仿真结果。  相似文献   

5.
根据中等容量感应电机空间矢量控制的特点,设计了一种基于16位定点数字信号处理器TMS320F240和复杂可编程逻辑器件XC9536的矢量控制系统,并详细说明了其软硬件设计方法。实验结果表明,该控制系统充分利用了TMS320F240和XC9536的硬件资源和特点,软硬件设计合理、实时性较好,能可靠完成空间矢量控制算法。  相似文献   

6.
根据中等容量异步电机空间矢量控制的特点,设计了一种基于16位定点数字信号处理器FMS320F240和复杂可编程逻辑器件XC9536的矢量控制系统,并详细说明了其软硬件设计方法。实验结果表明,该控制系统充分利用了TMS320F240和XC9536的硬件资源和特点,软硬件设计合理、实时性较好,能可靠完成空间矢量控制算法。  相似文献   

7.
把可编程逻辑控制器引入到硬件在环仿真系统中,介绍了基于可编程逻辑控制器的硬件在环仿真系统,并用该仿真系统对风力发电的软并网控制过程进行了仿真仿真分析验证了该仿真系统的有效性。  相似文献   

8.
针对混合动力汽车中开关磁阻电动(发电)机工作环境恶劣和软件控制抗干扰能力较低的特点,提出了以单片复杂可编程逻辑器件(CPLD)为基础的纯硬件电路实现开关磁阻电动/发电机系统的硬件控制方案。试验结果表明,以CPLD为基础的硬件控制器可以有效地实现控制系统的电动、发电、助力功能,并且具有较高的容错能力及良好的动态调节性能。  相似文献   

9.
CPLD的应用     
  相似文献   

10.
文章叙述了基于CPLD的步进电机控制卡的设计和实现,并将其成功应用于圆网印花控制系统,取得了满意的效果。该控制卡采用CPLD作为核心器件,极大减少了分立元件的使用,除了少数接口电路以外,大多数逻辑均在片内实现,因此系统扩展性能好、可靠性高、抗干扰能力强、结构简单、成本低廉。  相似文献   

11.
设计了一种差分电路,实现了对输液点滴的实时监控。电路采用环境光源(自然光和灯光)为信号源,基于上下布置的光敏传感器及差分电路减少干扰,采用四阶有源带通滤波电路有效提取与液滴低落所引发光强变化的脉冲信号,以有效值电压作为比较电压实现检测信号对环境光强的自适应调整,并输出TTL脉冲表征液滴滴落过程。实验证明电路可以准确地监测到液滴滴落现象,便于实现输液状态监测等功能。  相似文献   

12.
本文介绍了采用CLD和AD9240芯片进行高速数据采集卡的电路设计.该电路通过PC104的ISA接口来实现指令、数据的传输;通过CPLD(Complex Programmable Logic Device)用来实现可编程采样时钟和可编程的采样长度,以及A/D转换电路的可编程启动方式.  相似文献   

13.
设计了一种基于复杂可编程逻辑器件(CPLD)的无刷直流电动机控制系统,该系统采用CPLD作为核心器件,极大减少了分离元件的使用.利用硬件描述语言VHDL,通过设计在片内的代码实现电机换相控制,因此具有极大的灵活性、扩展性和稳定性.文章就系统设计思想进行了详细的阐述,并给出了相应的硬件电路和程序流程图.经过调试验证了该设计方案的可行性,电路结构紧凑,具有较好的工程应用价值.  相似文献   

14.
电容层析成像(ECT)技术应用于两相流/多相流的流型及各相分布参数的检测方面的研究,已经取得了显著的研究成果.本文提出了一种基于复杂可编程逻辑器件实现ECT系统中数据采集控制的方法,具有速度高、可在系统编程、电路简单、容易扩展等特点.  相似文献   

15.
基于CPLD的开关磁阻电动机控制电路设计   总被引:6,自引:2,他引:4  
本文运用一片在线可编程器件isp1032设计出开关磁阻电动机控制芯片。它包括PWM调节器、位置控制、正反转控制、单双极通电控制、过流关断控制等功能。并给出一个用它构成的SR电动机调速系统的应用实例。  相似文献   

16.
设计医疗牙钻用无位置传感器无刷直流电动机反电势过零点检测的硬件电路.详细分析反电势经过滤波后所产生的相移,并提出一种基于CPLD的软件补偿方法.通过实验,证明该电路检测到的反电势过零点信号有效、可靠,并且相移补偿方法正确、可行.  相似文献   

17.
基于CPLD的真空断路器智能控制单元的设计   总被引:1,自引:0,他引:1  
基于CPLD技术设计了真空断路器永磁操动机构的智能控制单元 ,该控制单元包括输入、输出和控制三个模块。描述了该控制单元的结构、逻辑控制功能 ,以及实现该控制单元的硬件电路和软件。对控制断路器的逻辑功能进行了仿真 ,并在断路器上进行了试验验证。  相似文献   

18.
彭颖 《江苏电器》2009,(5):39-41,56
基于CPLD器件与AT89C51单片机设计了一个综合系统,系统的硬件部分由单片机系统、时钟电路、通信电路、常用的外围电路(LED显示、模数转换等)和CPLD接口电路组成。软件部分采用硬件描述语言VHDL语言和汇编语言,可实现频率测量、采样控制和加法器等功能。  相似文献   

19.
从硬件方面介绍了一种居民小区物业管理系统中前端控制器的设计.该系统能对前端水电气用量数据进行采集,对家庭房间的火、爆、气进行检测与反控,为了上位机的统一计量,本文还设计出了下位机通信电路.  相似文献   

20.
在许多数据采集处理系统中,既要求DSP处理大量的实时数据,又要求AD转换器具有较高的模数转换精度和速度。为了节省DSP的资源和提高AD转换的精度和速度,本文介绍了一种基于CPLD的F2812和AD7865的接口电路的实现方法。其在具体的应用中取得了良好的效果。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号