首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this paper, recent results of Weibull slopes, area scaling factors, and breakdown behaviors observed for both soft breakdown and hard breakdown are discussed. These results would help to shed light on the breakdown mechanism of HfO2 gate dielectrics. The Weibull slope β of the hard breakdown for both the area dependence and the time-to-dielectric-breakdown distribution was found to be β=2, whereas that of the soft breakdown was about 1.4 (EOT=14 Å). We also integrated the time-to-breakdown characteristics of HfO2 under unipolar AC voltage stress on MOS capacitors. The results show that longer lifetime of HfO2 has been observed when compared to constant voltage stress. Higher frequency and lower duty cycle in the AC stress resulted in longer lifetime. As thickness decreases, the amount of lifetime enhancement decreases. The enhancement of unipolar tBD is attributed to less charge trapping during the “on time”, ton and charge detrapping during the off time, toff. It is proposed that time (τin) for charge to be trapped in HfO2 is longer than ton of unipolar stress under high frequency. In addition to experimental results, possible solutions are discussed.  相似文献   

2.
This paper discusses time-dependent dielectric breakdown (TDDB) in n-FETs with HfSiON gate stacks under various stress conditions. It was found that the slope of Weibull distribution of Tbd, Weibull β, changes with stress conditions, namely, DC stress, unipolar AC stress and bipolar AC stresses. On the other hand, the time evolution component of stress-induced leakage current (SILC) was not changed by these stresses. These experimental results indicate that the modulation of electron trapping/de-trapping and hole trapping/de-trapping by stress condition changes the defect size in high-k gate dielectrics. Therefore, the control of injected carrier and the characteristics of trapping can provide the steep Weibull distribution of Tbd, leading to long-term reliability in scaled CMOS devices with high-k gate stacks.  相似文献   

3.
In this paper, the threshold voltage instability characteristics of HfO2 high-k dielectric are discussed. The results from various stress bias conditions including DC and AC with variations of frequency, duty cycle, and polarity provide additional insights into the intrinsic behavior and the trapping dynamics of high-k materials. A reduced threshold voltage shift was observed at higher frequency and lower duty cycle under AC positive unipolar stress compared to DC stress. Similarly, the degradation of maximum transconductance was also reduced with AC stress. However, subthreshold swing changes were found to be negligible and fairly independent of stress frequencies and duty cycles under AC positive unipolar stress.When different polarity of stress, such as positive, negative, and bipolar stress was applied, it was observed that frequency and duty cycle dependencies were still valid in all three conditions. In contrast to positive stress, negative stress showed a decrease in the threshold voltage shift. Bipolar stress resulted in the highest threshold voltage instability, but the degradation in transconductance and subthreshold swing was actually smaller than those in negative unipolar stress. The bulk trap of HfO2 dielectric, which is proportional to its physical thickness, is believed to be the primary factor for threshold voltage shift. AC unipolar operation would allow a higher 10-year lifetime operating voltage than the DC condition. In addition to experimental results, a plausible mechanism has been proposed.  相似文献   

4.
超薄HfO2高K栅介质薄膜的软击穿特性   总被引:1,自引:0,他引:1  
研究了高K(高介电常数)栅介质HfO2薄膜的制备工艺,制备了有效氧化层厚度为2.9nm的超薄MOS电容。当栅氧化层很薄时会发生软击穿现象,软击穿和通常的硬击穿是不同的现象。分别利用在栅介质上加恒流应力和恒压应力两种方法研究了HfO2薄膜的击穿特性,实验结果表明,在两种应力方式下HfO2栅介质均发生了软击穿现象,软击穿和硬击穿的机理不同。  相似文献   

5.
We review the advancements in the understanding of breakdown and trap generation that have been achieved using low voltage stress-induced leakage current as a probe of the interface states created during electrical stress of ultra thin SiO2 and SiON gate dielectrics. The technique separates the effects of bulk and interface states on the post-stress IV characteristics; senses interface traps at both contact interfaces, identifies the regime where interface rather than bulk state generation is the rate limiting step for breakdown, is useful for determining the operative trap creation processes, and reveals the role of trap generation mechanism in driving which stress-induced defect controls breakdown.  相似文献   

6.
In this work, the effects of voltage and temperature on the TDDB characteristics of 2.0 nm stacked oxide/nitride (O/N) dielectric, prepared by remote plasma enhanced CVD (RPECVD), has been investigated. The breakdown characteristics and time-to-breakdown (tBD) are recorded from p+-poly/n-Si capacitors under constant voltage stress (CVS) at different temperatures. The tBD cumulative distributions exhibit a single Weibull slope β of 1.9 for different applied voltages. The charge-to-breakdown (QBD) is integrated from the gate current as a function of stress times, and can be used to extract the defect generation rate. The activation energy of 0.39 eV is determined from the Arrhenius law, and the average temperature acceleration factor is about 45 between 25 and 125 °C for a constant gate voltage. The extrapolation of the TDDB lifetime with low percentile failure rate of 0.01% provides a 10-year projection for a total gate area of 0.1 cm2 on a chip at 125 °C with the Poisson area-scaling law and a constant voltage acceleration factor of 14.83 V−1. It is projected that the maximum safe operating voltage is 1.9 V for 2.07 nm O/N gate dielectric.  相似文献   

7.
The time to breakdown distribution of bilayer gate stack dielectrics is measured at nanometric scale using an atomic force microscope in conduction mode under ultra-high vacuum. The bilayer consists of a SiON interfacial layer and a HfSiON High-K layer. Thanks to the small tip/sample contact area the time to breakdown distribution of the single interfacial layer is measured separately. It is found that the Weibull parameters of the Interfacial layer distribution are the same as those of the high percentile part of the bilayer bimodal distribution. This experimentally confirms the validity of former dielectric breakdown model assumptions. Considering the fields in each layer an accurate evaluation of acceleration factors and voltage scaling of the bimodal distribution are given.  相似文献   

8.
利用磁控溅射的方法在p- Si上制备了高k(高介电常数)栅介质Hf O2薄膜的MOS电容,对薄栅氧化层电容的软击穿和硬击穿特性进行了实验研究.利用在栅极加恒电流应力的方法研究了不同面积Hf O2 薄栅介质的击穿特性以及击穿对栅介质的I- V特性和C- V特性的影响.实验结果表明薄栅介质的击穿过程中有很明显的软击穿现象发生,与栅氧化层面积有很大的关系,面积大的电容比较容易发生击穿.分析比较了软击穿和硬击穿的区别,并利用统计分析模型对薄栅介质的击穿机理进行了解释  相似文献   

9.
Negative bias temperature instability (NBTI) lifetime prediction of thin gate insulator films based on hole injection without gate voltage acceleration is described and lifetime comparison between SiO2 film and SiON film is made based on the prediction method. The acceleration parameters are most important for the accurate lifetime prediction. The proposed acceleration parameter is not the applied voltage to the gate insulator film and the temperature but quantity of the hole injection to the gate insulator film that directly relates with the quantity of holes in the inversion layer. The degradation mechanism under the excessive voltage and excessive temperature stresses are different from that in the operation conditions. Using the hole injection method, the NBTI lifetime of SiON is less than that of SiO2. This result agrees with the reported results measured by conventional high gate fields and temperatures. By the introduction of effective stress time (=Qhole/Jinj0), accurate lifetime prediction in terms of the Vth shift is realized, and by analyzing of relationship between ID reduction and Vth shift, accurate lifetime prediction in terms of the ID reduction and the degradation prediction in the circuit level are realized. These results are essential for the accurate NBTI lifetime prediction for further more integrated LSI such as very thin gate insulator films around 1 nm.  相似文献   

10.
《Microelectronics Reliability》2014,54(9-10):1828-1832
In this paper, the impact of the gate drive voltage on avalanche capability of Trench-IGBTs is deeply analyzed by means of infrared (IR) thermal measurements and TCAD simulations during Unclamped Inductive Switching (UIS) test. The reported results are carried out for a case study on a 1.2 kV – 200 A rated device. Experimental results show the effect of the gate drive voltage during avalanche operation. A possible non-uniform current conduction for unipolar gate-driver case is proven using transient thermal maps. As a consequence, the dependence of the actual breakdown voltage (VBR) of the device active area with a negative gate biasing is investigated for trench structures. A reduction of the VBR and a slighter interplay between the T-IGBT cells and the termination area is demonstrated for a negative gate bias during the blocking state using ad-hoc TCAD electro-thermal simulations. Finally, the boosted avalanche capability is proven for under-biased case and a theoretical explanation of the involved phenomena is provided.  相似文献   

11.
Ultra-thin SiO2 films (tox~2.0 nm) were stressed under DC, unipolar, and bipolar pulsed bias conditions up to a pulse repetition frequency of 50 kHz. The time-to-breakdown (tBD ), the number of defects at breakdown (NBD), and the number of defects generated inside the oxide as a function of stress time were monitored during each stress condition. Oxide lifetime under unipolar pulsed bias is similar to that under DC conditions; however lifetime under bipolar pulsed bias is significantly improved and exhibits a dependence on pulse repetition frequency. The observation of a lifetime increase under bipolar pulsed bias for the oxide thickness and voltage range used in this study suggests that a different physical mechanism may be responsible for the lifetime increase from that assumed in earlier studies for thicker films  相似文献   

12.
The properties of the so-called time dependent dielectric breakdown (TDDB) of silicon dioxide-based gate dielectric for microelectronics technology have been investigated and reviewed. Experimental data covering a wide range of oxide thickness, stress voltage, temperature, and for the two bias polarities were gathered using structures with a wide range of gate oxide areas, and over very long stress times. Thickness dependence of oxide breakdown was shown to be in excellent agreement with statistical models founded in the percolation theory which explain the drastic reduction of the time-to-breakdown with decreasing oxide thickness. The voltage dependence of time-to-breakdown was found to follow a power-law behavior rather than an exponential law as commonly assumed. Our investigation on the inter-relationship between voltage and temperature dependencies of oxide breakdown reveals that a strong temperature activation with non-Arrhenius behavior is consistent with the power-law voltage dependence. The power-law voltage dependence in combination with strong temperature activation provides the most important reliability relief in compensation for the strong decrease of time-to-breakdown resulting from the reduction of the oxide thickness.Using the maximum energy of injected electrons at the anode interface as breakdown variable, we have resolved the polarity gap of time- and charge-to-breakdown (TBD and QBD), confirming that the fluency and the electron energy at anode interface are the fundamental quantities controlling oxide breakdown. Combining this large database with a recently proposed cell-based analytical version of the percolation model, we extract the defect generation efficiency responsible for breakdown. Following a review of different breakdown mechanisms and models, we discuss how the release of hydrogen through the coupling between vibrational and electronic degrees of freedom can explain the power-law dependence of defect generation efficiency. On the basis of these results, a unified and global picture of oxide breakdown is constructed and the resulting model is applied to project reliability limits. In this regard, it is concluded that SiO2-based dielectrics can provide reliable gate dielectric, even to a thickness of 1 nm, and that CMOS scaling may well be viable for the 50 nm technology node.  相似文献   

13.
Metal-oxide-semiconductor (MOS) capacitors incorporating atomic-layer-deposition (ALD) HfZrLaO high-κ gate dielectric were fabricated and investigated. The equivalent oxide thickness (EOT) is 0.68 nm and the gate leakage current density (Jg) is only 9.3 × 10−1 A/cm2. The time-dependence dielectric breakdown (TDDB) behavior agrees with the percolation model, and the TDDB characteristics are consistent with the thermochemical E-model for lifetime projection. The experimental results show that the Weibull slopes are almost independent of capacitor area and stress conditions. The field acceleration parameter (γ) and activation energy (ΔH0) are determined around 5.9-7.0 cm/MV and 0.54-0.60 eV, respectively. At 85 °C, the maximum voltage projected for 10-years TDDB lifetime is 1.87 V.  相似文献   

14.
Weibull slopes, area scaling factors, and lifetime projection have been investigated for both soft breakdown and hard breakdown for the first time, in order to gain a better understanding of, the breakdown mechanism of HfO/sub 2/ gate dielectrics. The Weibull slope /spl beta/ of the hard breakdown for both the area dependence and the time-to-dielectric-breakdown distribution was found to be /spl beta/ = 2, whereas that of the soft breakdown was about 1.4. Estimated ten-year lifetime has been projected to be -2 V.  相似文献   

15.
The results of an investigation of time-dependent dielectric breakdown (TDDB) of thin gate oxide and nitride–oxide (N–O) films are presented for a wide range of fields and temperatures. It was found that TDDB of both gate oxide and N–O films followed a power-law dependence of mean value of average leakage current (Iavg). An empirical extrapolation model using average leakage current as a major parameter was proposed based on experimental results. This proposed lifetime model has been successful to predict dielectric reliability. It could continuously fit the entire breakdown data from both wafer level and module level stress. The extrapolation from wafer level data to module data was excellent. The power of current versus TDDB showed exponential dependence on oxide thickness. This proposed TDDB projection methodology also worked for N–O films with an abrupt current increase in the IV curve at a certain voltage well below the breakdown voltage, while the conventional models clearly failed to fit all data from this region. The observation of TDDB dependence of the current may open a new window for oxide lifetime projections and provide some insights into the nature of oxide breakdown and its implications for reliability studies.  相似文献   

16.
《Microelectronics Reliability》2014,54(11):2383-2387
This paper investigates voltage-dependent degradation of HfSiON/SiO2 nMOSFETs under conditions of positive bias temperature instability (PBTI), and proposes a PBTI degradation model that can use data from acceleration tests to predict device lifetime accurately. Experimental results show that the PBTI stress generated shallow traps in HfSiON and the exponent of power-law for threshold-voltage shift increased exponentially with an increase of PBTI stress voltage. An enhancement factor that represents creation of shallow charge traps in gate dielectric by PBTI stress was included in the proposed model. The proposed model predicted operational lifetime tL = 1.64 × 1010 s, which agreed well with the tL = 1.92 × 1010 s measured at low gate stress voltage, whereas the conventional model overestimates tL by an order of magnitude, demonstrating that the proposed model is very useful on shortening the measurement time for estimating tL of high-k nMOSFETs.  相似文献   

17.
Radio frequency sputtering system is employed to fabricate metal oxide semiconductor (MOS) capacitors using an ultra-thin layer of HfAlOx dielectric deposited on n-GaAs substrates with and without a Si interface control layer incorporated in between the dielectric and the semiconductor. Measurements are performed to obtain capacitance voltage (CV) and current voltage (IV) characteristics for GaAs/Si/HfAlOx and GaAs/HfAlOx capacitors under different constant voltage and constant current stress conditions. The variation of different electrical parameters such as change in interface trap density, hysteresis voltage with various values of constant voltage stress and the dependence of flat band voltage, fractional change in gate leakage current density, etc. with stress time are extracted from the CV and IV data for capacitors with and without a Si interlayer. Further the trap charge density and the movement of trap centroid are investigated for various injected influences. The dielectric breakdown and reliability properties of the dielectric films are studied using constant voltage stressing. A high time-dependent dielectric breakdown (TDDB, tbd ? 1350 s) is observed for HfAlOx gate dielectric with a silicon inter-layer under the high constant voltage stress at 8 V. Compared to capacitors without a Si interlayer, MOS capacitors with a Si interlayer exhibit improved electrical and breakdown characteristics, and excellent interface and reliability properties.  相似文献   

18.
Subthreshold gate voltage shift ΔVgw of n-MOSFET's with different oxide thicknesses aging at various stress conditions was statisticalized using Weibull distribution. Based on the statistical results, an empirical expression for the relationship between average lifetime and acceleration field was developed, and lifetime predictions were made. Results show that the shape factors (β) of intrinsic failure of the devices with 5.0, 7.0, and 9.0 nm gate oxides under 27 and 105 °C are the same, namely, the mechanisms of the intrinsic failure are the same under low and high temperatures. The proportion of the extrinsic failure increases with temperature increasing. A lifetime prediction method was developed based on the exponential relationship between lifetime and acceleration field. This method can be applied to predict the lifetime of n-MOSFET's with ultrathin gate oxides under FN stress.  相似文献   

19.
钟兴华  徐秋霞 《电子器件》2007,30(2):361-364
实验成功地制备出等效氧化层厚度为亚2nm的Nitride/Oxynitride(N/O)叠层栅介质难熔金属栅电极PMOS电容并对其进行了可靠性研究.实验结果表明相对于纯氧栅介质而言,N/O叠层栅介质具有更好的抗击穿特性,应力诱生漏电特性以及TDDB特性.进一步研究发现具有更薄EOT的难熔金属栅电极PMOS电容在TDDB特性以及寿命等方面均优于多晶硅栅电极的相应结构.  相似文献   

20.
The Time-Dependent-Dielectric Breakdown (TDDB) characteristics of MOS capacitors with Hf-doped Ta2O5 films (8 nm) have been analyzed. The devices were investigated by applying a constant voltage stress at gate injection, at room and elevated temperatures. Stress voltage and temperature dependences of hard breakdown of undoped and Hf-doped Ta2O5 were compared. The doped Ta2O5 exhibits improved TDDB characteristics in regard to the pure one. The maximum voltage projected for a 10 years lifetime at room temperature is −2.4 V. The presence of Hf into the matrix of Ta2O5 modifies the dielectric breakdown mechanism making it more adequate to the percolation model. The peculiarities of Weibull distribution of dielectric breakdown are discussed in terms of effect of three factors: nature of pre-existing traps and trapping phenomena; stress-induced new traps generation; interface layer degradation.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号