首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Ultra violet nanoimprint lithography (UV-NIL), which is able to obtain the nano-scale pattern effectively and quickly, is strongly desired for the next-generation lithography technology. However, it is well known that the higher viscosity UV-curable resin with UV-NIL tends to be the shorter obtained pattern without the sufficient transfer pressure. This phenomenon is caused by the filling behavior of UV-curable resin into the UV-NIL mold, thus, the investigation of the filling behavior is very important. In this study, the filling behavior in UV-NIL was observed by using a “midair structure mold”, which is able to eliminate the bubble defect. As a result, it is clear that the filling behavior with low transfer pressure was depended on the capillary force in the mold pattern, which is described by the mold aperture size, the mold surface condition and the resin property.  相似文献   

2.
Transparent polymers are considered as alternative low-cost mold materials in UV nanoimprint lithography (UV-NIL). Here, we demonstrate a nanoimprint process with molds made of rigid polymers novel for this application. These polymer molds are found to show high performance in the patterning with UV-NIL. Sub-50 nm structures were fabricated with this process.  相似文献   

3.
Ultraviolet nanoimprint lithography (UV-NIL) is a powerful tool for nanoscale fabrication. However, the replication of high-density, high-aspect-ratio mold patterns by UV-NIL is very difficult because of the strong forces required to release the replicate from the mold. We used a glassy carbon (GC) mold with an antireflective structure, fabricated by irradiation with an oxygen-ion beam, to produce a high-density, high-aspect-ratio pattern, and we evaluated its release properties. The fabricated GC surface contained high-aspect-ratio conical structures with pitch of less than 100 nm. After fabrication of the antireflective structure, the mold surface was coated with chromium and a fluorinated silane coupling agent. By using this treatment and a peel motion during mold release, faithful replication of the mold structure in photocurable resin was possible. The release force increased with increasing mold surface area; the surface area effect is therefore the main factor in the mold-release step.  相似文献   

4.
Si master molds are generally patterned by electron-beam lithography (EBL) that is known to be a time-consuming nanopatterning technique. Thus, developing mold duplication process based on high throughput technique such as nanoimprint lithography can be helpful in reducing its fabrication time and cost. Moreover, it could be of interest to get inverted patterns (holes instead of pillars) without changing the master EBL process. In this paper, we propose a two step process based on thermal nanoimprint lithography (T-NIL) (step 1) and soft UV assisted nanoimprint lithography (UV-NIL) (step 2) to invert a master EBL mold. After the two inversion steps, the grand-daughter Si mold exhibits the same pattern polarity as the EBL mold. For step 1, pattern transfer using ion beam etching (IBE) of a thin metallic underlayer is the critical step for dimension control due to the low NXR1020 resistance. For step 2, the optimized reactive ion etching (RIE) step allows transfer with good anisotropy even for nanostructures at the 50 nm-scale. For structures larger than 100 nm, this inversion process has been successfully applied to large field replication (up to 1.5 cm2) on whole wafer.  相似文献   

5.
In this paper, we present the results of gold nanoparticles fabrication on large area by soft UV nanoimprint lithography (UV-NIL) and lift-off process for antibodies sensing. For this fabrication, the hard polydimethylsiloxane (H-PDMS) is used as flexible mold material. A simple AMONIL/PMMA bilayer is used to transfer patterns by etching the substrate with a suitable RIE process. The UV-NIL process has enabled to obtain gold nanoparticles with a diameter about 160 nm on a zone of 1 mm2. For bioplasmonic applications, the sensing of Anti-Biotin was studied.  相似文献   

6.
《Microelectronic Engineering》2007,84(5-8):912-915
Three-dimensional (3D) resolution of inorganic resist pattern, which was exposed with control of acceleration voltage electron beam lithography (CAV-EBL) in low accelerating voltage was examined. The system can make features with varying developed-depths. Three-dimensional pattern with a few hundred nanometer linewidth was fabricated with a CAV-EBL. The pattern depths on inorganic resist were gradated with 5 nm depth-resolution per 30 V. By controlling the pattern depth, a seven stairs blade-shaped binary optics mold was fabricated, and then a replica pattern of the mold was made by using UV-NIL.  相似文献   

7.
A novel method to fabricate double layer microlens array is proposed where the second smaller microlens are imprinted on the first larger microlens by using soft lithography twice. Key step to implement this method is to imprint micron-size structures on convex surface using nano-imprinting technology. It is required to prepare thin polydimethylsiloxane (PDMS) mold for the second soft lithography and thus different thickness of PDMS molds have been tested. It is found that 870 μm thick mold is good for fine duplication and durability. We have successfully fabricated the first microlens hemisphere of 51 μm diameter and the second microlens of 3 μm diameter on top of the first. The double microlens array shows more focused light spot when viewed through optical microscope.  相似文献   

8.
Pattern density variation is uncomfortable for nanoimprint lithography which uses a moldable material supplied as a thin film, because the variation of pattern density causes variations of residual layer thickness reflecting on the local pattern density. To solve the problem, a new type of mold “capacity-equalized mold”, which has constant averaged depth regardless of pattern density, was fabricated and the structure of the mold was inspected. UV nanoimprint was then carried out using the mold and thickness and uniformity of the residual layer were investigated. An average thickness of 33.2 nm with a standard deviation of 3.4 nm was obtained for the mold pattern layout with a pattern density of from 0.25 to 0.75. It was found that a standard deviation of 1.2 nm was achieved for pattern density variation of from 0.33 to 0.67 by excluding artifacts.  相似文献   

9.
A new ultraviolet assisted nanoimprint lithography technique with an exposure through non-transparent molds and a nm-resolution capability is reported. The UV imprint material was not cured by direct irradiation, but substantially exposed to indirect and diffuse irradiation. The nanoimprint molds consisted of a transparent support and a non-transparent, patterned element. Successful imprints were conducted on transparent glass and polymer foils placed on non-transparent substrate holders as well as on SiO2 on Si. The reported technique enables the application of non-transparent mold materials like Si, new mold materials and alternative antisticking layers like metals in UV-NIL.  相似文献   

10.
We report on results of fabrication and optical characterisation of sub-250 nm periodic gold nanohole arrays on glass by using UV nanoimprint lithography (UV-NIL) combined with both reactive ion etching (RIE) and Cr/Au lift-off processes. The transmission spectra of the fabricated nanohole gratings were measured for different hole diameters and periods. We also show preliminary results of chemical sensing after surface modification of the gold hole arrays. In agreement with the theoretical prediction, we found that any change in the dielectric index of the surrounding environment of the metallic array produces a transmission peak red shift.  相似文献   

11.
《Microelectronic Engineering》2007,84(5-8):945-948
The individual steps in fabrication of templates for UV-NIL processes are described. After spin coating a conductive copolymer (ESPACER 300) on top of the resist, insulating substrates have been structured by use of electron beam lithography at 20 keV beam energy. A three-dimensional (3D) pattern has been created in a low contrast positive tone resist PMMA 35k. By RIE in a CHF3 – O2 – process, the pattern has been transferred into the quartz substrate. Finally, the 3D structures have been replicated in a UV-NIL process.  相似文献   

12.
《Microelectronic Engineering》2007,84(5-8):963-966
Nanoimprinting lithography (NIL) is a promising technology to produce sub-50 nm half-pitch features on silicon- and/or quartz-based substrates. It is well-known as the next generation lithography. Especially, the UV-nanoimprint lithography technology has advantages of the simple process, low cost, high replication fidelity and relatively high throughput. In this paper, chip-size multi-head imprinting unit with compliance stage and overlay/alignment system with moiré and dual grating unit are proposed in order to fabricate sub-50 nm half-pitch patterns. These systems are set-up and performed in single-step nanoimprinting tool (ANT-4) which has several functional units for nanoimprinting process. Using the UV-NIL tool, 50 nm, 70 nm and 100 nm half-pitch dot and line patterns are obtained. Also, 20 nm overlay/alignment accuracy is obtained by means of the proposed method.  相似文献   

13.
Thermoplastics are commonly used in thermal nanoimprint lithography (NIL) but their high viscosity leads to inhomogeneities of residual thickness in patterns with various densities. Monomers exhibit low viscosity and are imprinted easily and polymerized with UV–NIL processes. These monomers can be also used for thermal NIL. We have imprinted A-POSS material which is spontaneously polymerized at 170 °C. The inorganic part of this monomer is interesting for pattern transfer and for permanent applications. Thermal properties of this molecule are presented in this paper. It is shown that polymerization occurs at 170 °C, and that the viscosity is 1330 mPa s at ambient temperature. Imprint experiments have demonstrated that A-POSS flows over larger surfaces during imprint step, compared to thermoplastics. Patterns with different densities have been studied and different filling regimes have been observed depending on material viscosity. They are induced by a competition between material flow and mold deformation. Finally, we imprinted some nanoelectrodes simultaneously with millimetric large connection pads, and it was demonstrated that complete filling was obtained with monomers whereas this was not possible with thermoplastics.  相似文献   

14.
In this paper we present a comparative study of two e-Beam Lithography (EBL) processes for Nanoimprinting Lithography (NIL) master mold, i.e. the standard PMMA based EBL Si patterning process and the HSQ process. 20 nm features with minimal sidewall roughness and high uniformity are demonstrated on large surface by using HSQ process. Moreover, to validate this ultra-high resolution HSQ EBL process and to check NIL resolution performances, soft UV-NIL replications were performed using soft hard-PDMS/PDMS bi-layer stamps casted on the HSQ master mold. We demonstrate the replication of sub-20 nm nanodots of high density (pitch 60 nm) with a good uniformity on the whole field area.  相似文献   

15.
《Microelectronic Engineering》2007,84(5-8):984-988
There are two basic types of nanoimprint lithography: hot embossing using thermoplastic or thermosetting polymers and UV-based nanoimprint lithography (UV-NIL) using UV-curable polymer systems. Since the interest in UV-NIL has been constantly increasing within the last years, the need of suitable low-viscosity resists has increased, too. The availability of such materials is one key element of the UV-NIL technology. In this contribution a novel, spin-coatable polymer system for UV-NIL is presented. Suitable polymer components were evaluated using photoDSC analysis. Their ratio was adjusted in such a way that the overall dynamic viscosity of the mixture remained low which was beneficial for the flow behaviour. Film thicknesses in the range of 150–500 nm could be obtained by spin-coating. The new polymer system was characterised in UV-NIL processes and in plasma etching investigations. Imprinted micrometer as well as nanometer scale patterns with feature sizes in the range of 30 nm to several microns are shown.  相似文献   

16.
In nanoimprint lithography (NIL), a mold is coated with an antisticking layer for preventing resin from adhering to it. A fluorinated self-assembled monolayer (F-SAM) is mainly used as the antisticking layer. The temperature of the mold in thermal NIL increases around the glass transition temperature of the resin. It is therefore important to assess the durability of the antisticking layer against heat. We evaluated the releasing effect of F-SAMs with and without annealing by using measurements obtained from the surface free energy and scanning probe microscopy (SPM). Furthermore, we examined the surface chemical composition and the surface morphology of F-SAMs with and without annealing using X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FT-IR), and atomic force microscopy (AFM). From these results, we confirmed that the releasing effect of F-SAM deteriorated due to thermal decomposition when annealing over 500 °C. However, we found that F-SAMs annealed at 500 °C had a sufficiently large releasing effect in nanoimprinting.  相似文献   

17.
Due to polymer’s excellent flexibility, transparency, reliability and light weight, it is a good candidate material for substrate of devices including organic electronic devices, biomedical devices, and flexible displays (LCD and OLED). In order to build such devices on polymer, nano- to micron-sized patterning must be accomplished. Since polymer materials reacts with organic solvents or developer solutions which are inevitably used in photolithography and cannot bear high temperature (∼140 °C) process for photoresist baking, conventional photolithography cannot be used to polymer substrate. In this research, monomer based thermal curing imprinting lithography was used to make as small as 100 nm dense line and space patterns on flexible PET (polyethylene-terephthalate) film. Compared to hot embossing lithography, monomer based thermal curing imprint lithography uses monomer based imprint resin which consists of base monomer and thermal initiator. Since it is liquid phase at room temperature and polymerization can be initiated at 85 °C, which is much lower than glass temperature of polymer resin, the pattern transfer can be done at much lower temperature and pressure. Hence, patterns as small as 100 nm were successfully fabricated on flexible PET film substrate by monomer based thermal curing imprinting lithography at 85 °C and 5 atm without any noticeable degradation of PET substrate.  相似文献   

18.
Nano-order metal pattern printing on plastic substrates was established by using hard stamp nanoprint lithography (NPL). A spin-on-glass (SOG) material, which is almost the same as quartz in composition, was used as the material for the hard stamp. The SOG acted as a positive-tone electron beam (EB) resist. Nanopatterns were fabricated by using electron beam lithography (EBL), and a developed pattern of SOG was used as the hard stamp. Further, two types of release coating methods were utilized. One method used a conventional silan coupling agent and the other, a chromium layer. After comparing the results of the methods, we found that the chromium layer formed a smooth surface and therefore used this layer as the release layer. In addition, chromium was changed to Cr2O3 because of the exposure to atmospheric air. Gold was used as the transfer metal and was deposited on the hard stamp covered with the chromium release layer. This stamp was then placed in contact with a PET substrate at 80 °C for 30 min. A gap width of less than 30 nm of gold was transferred onto the PET substrate. This process is very simple, and yet, it makes it possible to obtain a very high resolution metal pattern transfer by using hard stamp NPL.  相似文献   

19.
In this paper, we present an alternative technique to the well-known electron beam lithography in order to realize nanoholes in the silicon substrates for biological applications. The used technique is soft UV nanoimprint lithography (UV-NIL). We optimized the fabrication of silicon based supports obtained by soft UV-NIL and reactive ion etching to carry out very large arrays of nanoholes. The resolution limits are investigated when using poly(dimethylsiloxane) as flexible mold material. RIE conditions are initiated to limit the lateral mask resist etch.  相似文献   

20.
《Microelectronic Engineering》2007,84(5-8):925-927
Nanoimprint lithography (NIL) is a cost efficient technique for the mass production of nanostructures. We demonstrate alignment accuracies in the range of 100 nm and below in UV-based nanoimprint lithography (UV-NIL) using a simple optical technique. The advantages of this technique are the relative simplicity of the marker-design and the whole setup combined with the possibility of an upgrade of existing equipment and still ultra-high precision alignment capabilities.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号