首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The advantage of high voltage electron beam lithography in submicron VLSI fabrication is outlined. Continuously-moving-stage EB systems with small deflection width are suited to high voltage electron beam machines. At 50 kV, the following experimental results were obtained:
  • 1.(1) 0.75 μm lines of PMMA are formed on a 0.8 μm step.
  • 2.(2) Dimension deviation from designed size due to proximity effect is below ±0.1 μm for line or space, ranging from 0.25 μm to 30 μm, adjacent to a large area.
  • 3.(3) Dosages, required to obtain a resist pattern with vertical walls, are 50μC/cm2 at 50 kV and 100 μC/cm2 at 20 kV.
  • 4.(4) Accurate position detection for a mark covered with thick overlayers can be achieved at 50 kV, but not at 20 kV.
  相似文献   

2.
 The irradiation damages in the electron beam lithography(EBL)to Al-gate MOS capacitors in the ranges of 10—30keV and 10~(-6)—10~(-3)C·cm~(-2) and the effects of annealing on damages at low temperature(<500℃)are given.The research on damages caused by high electron energy(30keV) and ultra-high dosages(10~(-4)—10~(-3) C·cm~(-2))is important and useful to the EBL.The resolution can be improved by high electron energy.Both the EBL with vapor-development and without development are all operated at ultra-high dosages.After irradiations,the concentrations of inter- face states can increase by about one to two orders of magnitude and the flat-band voltages by about a few to more than ten volts.Under constant exposure dosages,the fiat-band voltages are independent of the changes of electron energies in certain energy ranges.Under constant electron energies the concentrations of interface states are independent of the changes of exposure dosages in certain dosage ranges.After annealing,the flat-band voltages can recover the values before the irradiations for energies and dosages in the ranges of 10—30keV and 1×10~(-6)—6×10~(-3)C·cm~(-2) respectively.The interface state concentrations due to the damages of ultra dosages can not be removed completely.  相似文献   

3.
郭宝增  田华 《半导体技术》2001,26(10):43-46
角度限制散射投影电子束光刻(SCALPEL)采用并行投影技术,具有分辨率高、曝光范围大的特点,可望获得远比电子束直写光刻高的产量。本文介绍了SCALPEL的原理、特点及该技术的研究进展情况。  相似文献   

4.
化学放大胶(ChemicallyAmplifiedResists,简称CARs)是下一代光刻技术中极具发展潜力的一种光学记录介质。介绍了化学放大胶在电子束光刻技术中图形制作工艺的关键步骤以及目前常用的几种化学放大胶,分析了将化学放大胶用于电子束曝光工艺应注意的问题和它将来的发展趋势。  相似文献   

5.
Resist profiles in electron beam exposed PMMA have been simulated before and after proximity exposure compensation (correction) for isolated and closely spaced line patterns. Edge slope of the resist profiles obtained in the two cases (before and after proximity exposure compensation) indicate the effectiveness of the compensation technique. Along with the edge slopes the line width variations are also determined.  相似文献   

6.
电子束光刻中邻近效应校正的几种方法   总被引:2,自引:0,他引:2  
本文简要介绍了限制电子束光刻分辨率的主要因素之一-邻近效应的产生机制,列举了校正邻近效应的GHOST法、图形区密集度分布法和掩模图形形状改变法,介绍了每种方法的原理、步骤和效果,比较了它们各自的优缺点.  相似文献   

7.
《Microelectronic Engineering》2007,84(5-8):1058-1061
We present combined electron beam and UV lithography (CEUL) in SU-8 as a fast and flexible lithographic technique for prototyping of functional polymer devices and pattern transfer applications. CEUL is a lithographic technique suitable for defining both micrometer and nanometer scale features in a single polymer film on the wafer scale. The height of the micrometer and nanometer scale features is matched within 30 nm. As a pattern transfer application, we demonstrate stamp fabrication and thermal nanoimprint of a 2-dimensional array of 100 nm wide lines with a pitch of 380 nm in connection with micrometer scale features.  相似文献   

8.
《Microelectronic Engineering》2007,84(5-8):814-817
We report on a fogging effect correction (FEC) method to be used in high-resolution e-beam lithography (EBL). In the new version of the previously presented PROX-In software tool, originally developed to determine the numerical proximity parameters for the proximity effect correction (PEC), was now implemented also the possibility of correcting large-range pattern distortion effects in connection with the modified PROXECCOTM tool from PDF Solutions. This allows a complex exposure optimization by dose modulation of long-range fogging and/or loading effects with the standard PEC method using the same corrector. The presented approach is fast and effective, does not use any special additional technology steps and uses only standard high-resolution measuring techniques. The reviewed method was successfully implemented into mask production at different absorber stacks. It is also used for the determination of FEC input parameters and complex exposure optimization in e-beam direct write and step and flash imprint lithography (SFIL) template manufacturing with sub-50 nm resolution capability.  相似文献   

9.
一种新型的具有角度限制的电子束投影曝光技术   总被引:2,自引:0,他引:2  
具有角度限制的电子束投影曝光技术有可能成为21世纪最有潜力的纳米光刻技术之一。通过配备缩小投影透镜、掩模承片台、基片工作台和控制用计算机,我们将一台透射电子显微镜(TEM)改造成一台用于电子束投影曝光的试验装置。利用这台装置完成了有关掩模性能、电子光学特性和图形对准的一系列实验,同时取得了最细线宽为78nm的抗蚀剂图形。  相似文献   

10.
微电子产业的飞速发展要求半导体器件的最小特征尺寸越来越小。传统的光学光刻技术由于受到光的衍射等限制,开始面临挑战。电子束曝光技术具有高分辨、长焦深、无需掩模等优点,成为下一代光刻技术中极具发展潜力的一种。  相似文献   

11.
A wide range energy (25, 50, 100keV) electron beam lithography system with ZrO/W Schottky electron source and UHV chamber has been developed. The electron probe stability of 2.5%/hour is measured, and a beam diameter of 3nm is confirmed at 100keV beam energy. The ultimate pressure of bakeable work chamber is confirmed to reach 4×10−10Torr. With the UHV chamber and a gas jet nozzle, this system allows to perform in-situ electron beam nanolithography by combining with UHV multichamber systems.  相似文献   

12.
In order to understand the practical limits of electron beam direct-write and optical projection lithography techniques in device fabrication with micrometer and submicrometer geometries, we have exercised two computer simulation programs to estimate resolution limits and linewidth control. Latent image contrast and developed resist thickness contrast were calculated as a function of line-array spatial frequency. The linewidth tolerances were calculated by varying exposure, development time, focusing, line/space Pattern, resist thickness, etc. These simulation results indicate that the lithographic performance of the two techniques using state-of-the-art exposure tools are comparable at 1-µm dimensions. Some relevant experimental data also are presented.  相似文献   

13.
14.
A direct-write sub-100-nm electron beam lithography has been used for scaling of a novel lateral bipolar structure. The keyprocess is a lithographic base definition by using small PMMA structures as implantation masks. Downscaling of base widths into the sub-100-nm-regime could be successfully demonstrated. Process simulation is used to estimate the effects of process parameters on device scaling. The physical and technological effects which are responsible for base formation are intensively discussed. A relation for base formation is derived and confirmed by electrical measurements.  相似文献   

15.
One of the most important applications of focused ion beam (FIB) systems is sample preparation for transmission electron microscopy (TEM). However, the use of the FIB inherently involves changing and damaging the sample, and thereby degrades the TEM resolution. This paper addresses the beam-induced damage and artifacts, particularly in applications involving silicon semiconductors. The damage appears in the form of amorphization on the surface of the TEM foil. The characteristics of this amorphous damage were studied by making TEM observations of cross sections of the affected foil. The damage is typically 20 to 30 nm thick for a 30 keV FIB, which is generally overly thick for modern silicon devices with feature sizes less than 250 nm. This paper reviews the reported damage depths of FIB-prepared samples, which are determined by experiments and calculations. Several damage reduction techniques, such as the use of gas-assisted etching, low energy FIB, cleaning the FIBfabricated cross section by wet or dry etching and cleaning by broad ion beam (BIB) milling have also been reviewed, with emphasis on applicability to silicon devices. We conclude that the use of low energy FIB and cleaning by argon BIB are particularly efficient techniques.  相似文献   

16.
《Microelectronic Engineering》2007,84(5-8):845-847
One-step fabrication on PMMA resist of relatively large area arrays of dots by electron beam lithography (EBL) is reported when using one of the most conventional systems from Raith™ company. Analyzing the effect of the microscope conditions on the homogeneity and shape of the dots, it has been found that aberrations problems that appear in the dots far off from the array centre at usual working distances can be solved by carefully adjusting the microscope at a much larger working distance (WD). In these conditions, good circular dots, with a diameter of about 300 nm, can be obtained in one-step on every part of the array over 300 μm × 300 μm areas in write fields of 500 μm × 500 μm.  相似文献   

17.
In order to obtain uniform exposure in variably shaped electron beam lithography,the beam current density and edge resolution on the target must not change for different spotshapes and sizes.The key to the goal is the appropriate design of shaping deflectors.A linearand rotation compensation approach is presented.Values of linear and rotation compensationfactors versus the distances between electron source image and centers of deflectors are measuredon an experimental electron beam column with variable spot shaping.The experimental resultsare in good agreement with the calculated ones.  相似文献   

18.
XUV radiation and soft X-rays can be focussed using optical elements that represent extremely smooth and planar substrates with diffracting structures on them. Optical elements of this kind can generally be described as reflection gratings with straight or curved lines of variable line density. Such arbitrary line shapes are easily generated with the help of electron beam lithography. Continuous path control applied in conjunction with data fracturing into BÉZIER curves appears to be particularly suited for this purpose. The method avoids breaking the individual lines down into polygons, which implies an inevitably greater edge roughness. The use of low electron energies allows the individual grid lines to be generated by superpositioning of two path curves without any need to consider the proximity effect. The exposures were carried out on an electron beam lithography system LION-LV11. Measurements performed on BESSY for the first diffraction order showed the resulting optical element to have an efficiency of up to four percent depending on the particular wavelength.  相似文献   

19.
Pattern distortions caused by the charging effect should be reduced while using the electron beam lithography process on an insulating substrate. We have developed a novel process by using the SX AR-PC 5000/90.1solution as a spin-coated conductive layer, to help to fabricate nanoscale patterns of poly-methyl-methacrylate polymer resist on glass for phased array device application. This method can restrain the influence of the charging effect on the insulating substrate effectively. Experimental results show that the novel process can solve the problems of the distortion of resist patterns and electron beam main field stitching error, thus ensuring the accuracy of the stitching and overlay of the electron beam lithography system. The main characteristic of the novel process is that it is compatible to the multi-layer semiconductor process inside a clean room, and is a green process, quite simple, fast, and low cost. It can also provide a broad scope in the device development on insulating the substrate,such as high density biochips, flexible electronics and liquid crystal display screens.  相似文献   

20.
Spray coating of polymethylmethacrylate (PMMA) as electron beam resist on non planar surfaces is presented as a reliable technique for deposition of uniform resist layers with adjustable thickness at wafer scale. In the experiments a commercial spray coating system with an ultrasonic spray nozzle was used. Parameters which influence the quality of the resist layer with respect to uniformity across a 4 in Si wafer, such as ultrasonic power and dispensed volume, were evaluated. The suitability of spray coated PMMA for the pattern transfer on surfaces with high topography was proven by PMMA spray coating of 8 μm deep trenches etched into Si wafers. The PMMA was then electron beam exposed and chromium line patterns were transferred on the Si surface via a lift-off process.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号