首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
《Microelectronic Engineering》2007,84(5-8):1049-1053
We designed and synthesized a new partially-protected polyphenol, 25X-MBSA-M, for which the position and number of protected hydroxyl groups have no dispersion, and evaluated the EUV patterning performance of a chemically amplified positive-tone resist based on it. EUV imaging experiments were performed using the high-numerical-aperture (NA = 0.3), small-field EUV exposure tool (HINA) at ASET and coherent illumination (σ = 0.0). Patterning results showed the resolution of the resist to be 28 nm at an EUV exposure dose of 12.2 mJ/cm2, the obtainable aspect ratio to be as high as 2, and the line-edge roughness (LER) to be small, with 3σ being 3.6 nm for 45-nm line-and-space patterns and an inspection length, L, of 2000 nm. In addition, pattern collapse was markedly suppressed.  相似文献   

2.
3.
《Microelectronic Engineering》2007,84(5-8):700-704
An evaluation of hydrogen silsesquioxane (HSQ) for EUV lithography is presented. The effects of bake temperature and developer concentration on the ultimate resolution, sensitivity and contrast are investigated. It is demonstrated that HSQ as a negative-tone photoresist provides patterns with half-pitches as small as 20 nm with EUV interference lithography. SEM micrographs show that the low line-edge roughness of the patterns is accompanied with the high-quality cross-sectional profiles. This high resolution and pattern quality are achieved through development in high-concentration developers for long development times, which is in line with previous results obtained with e-beam lithography.  相似文献   

4.
We fabricated 9-30 nm half-pitch nested Ls and 13-15 nm half-pitch dot arrays, using 2 keV electron-beam lithography with hydrogen silsesquioxane (HSQ) as the resist. All structures with 15 nm half-pitch and above were fully resolved. We observed that the 9 and 10-nm half-pitch nested Ls and the 13-nm-half-pitch dot array contained some resist residues. We obtained good agreement between experimental and Monte-Carlo-simulated point-spread functions at energies of 1.5, 2, and 3 keV. The long-range proximity effect was minimal, as indicated by simulated and patterned 30 nm holes in negative-tone resist.  相似文献   

5.
《Microelectronic Engineering》2007,84(5-8):1084-1087
We have designed and synthesized a molecular resist material, which has no distribution of the protecting groups and have evaluated its performance as a molecular resist with EB and EUV exposure tool. The molecular resist attained a resolution of sub-45 nm patterning at an exposure dose of 12 mJ/cm2. It was found that controlling the distribution of the protecting groups in a molecular resist material has a great impact on improving line edge roughness (LER). Low LER values of 3.1 nm (inspection length: L = 620 nm) and 3.6 nm (L = 2000 nm) were achieved with this molecular resist using Extreme UltraViolet (EUV) lithography tool.  相似文献   

6.
An extreme ultraviolet (EUV) interference lithographic exposure tool was installed at the long undulator beamline in NewSUBARU to evaluate EUV resists for 25 nm node and below. The two-window transmission grating of 40 and 50 nm half pitch (hp) were fabricated with techniques of spattering, electron beam lithography, dry etching and wet etching. hp patterns (20 and 25 nm) of chemically amplified resist (CAR) and non-CAR were successfully replicated using the EUV interference lithographic exposure tool.  相似文献   

7.
Modeling the lithography process with stochastic principles enables the consideration of resist material and process effects variability on critical dimensions and line-edge or line-width roughness of printed features. These principles are applied for a resist system where polymer and photo-acid-generator (PAG) are blended, and for the same system with the PAG molecules bonded on the main polymer chain. Three-dimensional chain-like models of resist and PAG are considered and examples of their effect on critical dimensions and on resist edge roughness are presented. Comparison with experimental results from the literature proves the validity of the current approach and suggests that it can be used for the prediction of the resist resolution and low roughness capabilities.  相似文献   

8.
New inorganic electron-beam resists have been developed on the basis of the aqueous chemistries of Zr and Hf. With a 30-keV electron-beam, resist sensitivities as low as 8 μC/cm2 have been realized. At higher exposure doses, 15-nm lines and 36-nm dense features have been written with line-width roughness near 2 nm. In reactive-plasma etching, the resists exhibit etch resistance >7× that of thermal SiO2.  相似文献   

9.
《Microelectronic Engineering》2007,84(5-8):1062-1065
The influence of resist molecular weight as well as its architecture becomes important in lithographic scales aiming at sub-45 nm resolution. The effects of processing and resist molecular geometry on line-edge roughness (LER) should be well understood in order to meet the ITRS lithographic specifications. In this work, two-dimensional simulations and comparisons of the LER between films of molecular resists and resist films made of oligomers with the same molecular diameter, showed that in all cases molecular resists have lower LER. Explanations of this behavior are proposed based on molecular architecture and the free volume distribution in the resist film. It was also found that the size of free volume regions is less in molecular resist than in the corresponding oligomers.  相似文献   

10.
In this paper we present results on the synthesis of a hybrid organic/inorganic resist based on polyhedral oligomeric silsesquioxane cages and used it in a thermal nanoimprint lithography process. Our resist has been developed in order to be uniformly spin coated on silicon substrates, imprinted at a reduced temperature (40 °C), then cross-linked first at elevated temperatures (>120 °C) during the imprinting process and then by exposure to UV radiation outside the imprinting tool. With this process, a low shrinkage rate of the resist is achieved after cross-linking, combined with high mechanical and thermal properties.  相似文献   

11.
Reflectivity degradation of grazing-incident extreme ultraviolet (EUV) mirror samples by EUV exposure was investigated in a commercial XTS 13-35 EUV source. The roughness of EUV exposed samples increases with an increase in exposure time due to the erosion of sample surface by ions and neutrals, or deposition of contaminant such as carbon on the sample surface. While energetic debris certainly affects mirror reflectivity, the loss in reflectivity observed in EUV exposed samples surpassed that which would be attributable simply to induced surface roughness through sputtering. Surface analysis of the EUV mirror sample surface after exposure confirmed that carbon contamination was present. Experimentally measured reflectivity of EUV mirrors showed degradation after EUV exposure due to the carbon contamination present in the investigated system. The measured reflectivity data were fitted by changing the carbon film thickness using a bi-layer mirror model in the CXRO simulator. The experimentally measured values of reflectivity are in good agreement with the simulation results. The contamination rate was found to be dependent on the carbon contamination thickness. The contamination rate is fast (7 × 10−5 nm/shot) in the beginning of contamination growth whereas it gets slower (2 × 10−5 nm/shot) as carbon builds up on the Ru mirror surface. An analytical model taking the sputtering by ions into account was developed to understand the variation of carbon contaminant deposition rate with exposure time. In our model, the fast contamination rate in the beginning of carbon buildup is explained by the interplay of photo electron emission and the varying sputtering yield of the growing carbon layer on the EUV mirror.  相似文献   

12.
This letter introduces an analytical model to represent line-edge roughness (LER) effects on both off-state leakage and drive current for sub-100-nm devices. The model partitions a given device into small unit cells along its width, each unit cell assumes a constant gate length (i.e., cell's width is small compared to LER spatial frequency). An analytical model is used to represent saturated threshold voltage dependency on the unit cell's gate length. Using this technique, an efficient and accurate model for LER effects (through Vts variations) on off-state leakage and drive current is proposed and experimentally validated using 193 and 248 nm lithography for devices with 80-nm nominal gate lengths. Assuming that the deviation from the ideal 0-LER case remains constant from generation to generation, the model predicts that 3 nm or less LER is required for 50-60-nm state-of-the-art devices in the 0.1-μm technology node. Based on data presented, we suggest that the LER requirement for this technology node is attainable with an alternated phase-shift type of patterning process  相似文献   

13.
We report the effect of air exposure and deposition temperatures, Td, on the optical property of nanocrystalline silicon (nc-Si). The nc-Si thin films were investigated by photoluminescence (PL), optical absorption, X-ray diffraction (XRD), Fourier-transform infrared (FTIR) absorption and Raman scattering. Experimental results show the structural change from an amorphous to a nanocrystalline phase at Td=80 °C. In addition, it suggests that Td low condition leads to the increase in the density of SiH-related bonds and a decrease in the average grain size, δ. The oxygen absorption peak increases with the air-exposure time. The PL exhibited two peaks at around 1.75–1.78 and 2.1–2.3 eV. The PL increases and blue shifts consistently with the decrease of δ and increase of oxygen content. The first peak may be related to nanocrystallites in nc-Si films and the origin of another one may be due to defect-related oxygen. Thus, by the plasma-enhanced chemical vapor deposition (PECVD) technique at low Td, we can produce the nc-Si films with different grain sizes, causing the corresponding luminescent properties. The new method processes advantages of low deposition temperature and effective oxidation of nc-Si on inexpensive substrates, thus making it more suitable for developing low-cost array or flexible nc-Si optoelectronic devices.  相似文献   

14.
We have recently proposed new ultralow-k dielectric materials using a theoretical approach called molecular design. This approach requires the application of complementary theoretical methods to describe the complex problems. The methods include classical, continuum theoretical, and quantum-chemical approximations. The advantage of the present approach is that various possible candidates for ultralow-k dielectrics can be tested theoretically without performing expensive and time-consuming experiments. In this study, we analyze the way to connect linker molecules to the node molecules, in order to improve mechanical and dielectric properties of generated ultralow-k structures. Two different types of bonding linker molecules to the cage C60 molecule with the >CC< and >CCH2CH2C< linker molecules are possible. It is shown that at the present improvement step it is possible to get property combinations with dielectric constant of k = 2.2 and bulk modulus of B = 33 GPa for the simple cubic topology.  相似文献   

15.
Among the different possibilities for sub-40 nm half-pitch devices, double patterning (DP) is one of the most promising candidates. This paper is related to the double imaging approach where the first lithographic step is followed by a resist curing to avoid any degradation of the pattern during the upper-layer resist patterning. In this paper we develop a methodology based on thermal analysis measurements to demonstrate the existence of an optimal curing temperature. The results are in good agreements with the lithographic observations showing that thermal characterization of the resist is a complementary source of information for the DP process. Moreover, we were able to provide valuable information on the evolution of the properties of the resist occurring during the curing step and some directions for next generation curing resists.  相似文献   

16.
A multi-resolution approach for line-edge roughness detection   总被引:2,自引:0,他引:2  
A wavelet-based line-edge detection framework is presented that proves to be solely image-dependent. In this analysis, surfaces are considered as a combination of an underlying surface structure and a surface detail, corresponding to low-frequency and high-frequency features, respectively. Through the multi-scale analysis offered by wavelet decomposition, the underlying surface structure is extracted and used to define the line-edge searching region, which, in turn, helps characterize the line-edge roughness (LER), providing valuable information for the evaluation of device fabrication and performance. We focus on exploring the optimal wavelet decomposition, to better separate the underlying structure and the surface detail, using a number of metrics including the Shannon’s entropy, k-means clustering and the flatness factor. The impact of different wavelet functions and resolution levels on line-edge roughness characterization is discussed. An SEM image of a plane diffraction grating is studied to demonstrate the application of the proposed framework.  相似文献   

17.
Optical lithography   总被引:3,自引:0,他引:3  
This is the first in a series of papers describing a theoretical process model for positive photoresist. This model, based upon a set of measurable parameters, can be used to calculate the response of photoresist to exposure and development in terms of image surface profiles. The model and its parameters are useful in many ways, from measuring quantitative differences between different resist materials to establishment of process sensitivities and optimization of the resist process within a manufacturing system. In this paper, the concepts of photoresist modeling are introduced by following the exposure and development of a photoresist film on silicon exposed by a uniform monochromatic light flux. This very simple example provides insight into the complex nature of the photoresist process for reflective substrates. The accompanying paper, "Characterization of Positive Photoresists," gives detail about measurement of the new photoresist parameters. It is supported by "In-Situ Measurement of Dielectric Thickness During Etching or Developing Processes" which discusses automated experimental techniques needed to establish photoresist development rates. These resist parameters provide a complete quantitative specification of the exposure and development properties of the resist. They also allow quantitative comparisons: lot to lot, material to material, and processing condition to processing condition. The fourth paper, "Modeling Projection Printing of Positive Photoresists," applies the process model to one technique of photoresist exposure. This paper contains the detailed mathematics of the model. The model is then used to calculate line-edge profiles For developed resist images.  相似文献   

18.
《Microelectronic Engineering》2007,84(5-8):853-859
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and flash imprint lithography (S-FIL) is a unique method that has been designed from the beginning to enable precise overlay for creating multilevel devices. A photocurable low viscosity monomer is dispensed dropwise to meet the pattern density requirements of the device, thus enabling imprint patterning with a uniform residual layer across a field and across entire wafers. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates.This paper addresses steps required to achieve resolution at or below 32 nm. Gaussian-beam writers are now installed in mask shops and are being used to fabricate S-FIL templates. Although the throughput of these systems is low, they can nevertheless be applied towards applications such as unit process development and device prototyping.Resolution improvements were achieved by optimizing the ZEP520A resolution and exposure latitude. Key to the fabrication process was the introduction of thinner resist films and data biasing of the critical features. By employing a resist thickness of 70 nm and by negatively biasing features as much as 18 nm, 28 nm half-pitch imprints were obtained. Further processing improvements show promise for achieving 20 nm half-pitch features on a template.  相似文献   

19.
The reduction of extreme ultraviolet (EUV) resist outgassing to minimize or prevent possible contamination of EUV exposure tools is viewed as an important factor in the development of EUV resists. In this paper, a detailed evaluation/comparison of the pressure rise method (evaluation based on the measured pressure variations due to the released resist outgassing) and the gas chromatography–mass spectroscopy (GC–MS) quantification methods is discussed. The application of compensation-values based on the factors affecting each of these methods was also investigated. The ‘relative sensitivity of ion gauge’ for the pressure rise method and an approximated amount of CO2 (which is not collectable by desorption tubes) for GC–MS was used for the compensation of resist outgassing quantification. With the application of these compensation-values, an improved and small difference between evaluation methods of 4.7–16 times is obtained in contrast with a 34–107 times difference without compensation. Moreover, the resist outgassing difference caused by a variation in the resist chemical composition (protecting ratio, photo-acid generator (PAG) and quencher content) shows the same trend regardless of evaluation method utilized, even with compensation.  相似文献   

20.
There is an urgent demand for higher performance resists with superior resolution, sensitivity, and line edge roughness for both electron-beam and extreme ultraviolet lithography applications. Chemically amplified resists provide superior sensitivity compared to non-chemically amplified resists, but often suffer from resolution limitations and poor line edge roughness. A new class of negative tone chemically amplified molecular resists has been developed based on epoxide cross-linking that combines high sensitivity with low line edge roughness and excellent resolution. The most recent compound of this class (2-Ep) simultaneously demonstrates resolution of 25 nm half-pitch, sensitivity of 38 μC/cm2, and line edge roughness (3σ) of 2.9 nm under 100 keV e-beam exposure.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号