首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 501 毫秒
1.
In this work we describe the gate first integration of gadolinium silicate (GdSiO) high-k dielectrics and metal gate electrodes into SOI n-MOSFETs. Fully functional devices are achieved and compared to reference devices with standard SiO2. Analysis of electron transport in these gate stacks is performed by specific MOSFET test structures that enable extraction of intrinsic inversion channel mobility. Attractive peak mobilities of 170 cm2/Vs have been found for GdSiO.  相似文献   

2.
We discuss options for metal–oxide-semiconductor field-effect transistor (MOSFET) gate stack scaling with thin titanium nitride metal gate electrodes and high-permittivity (‘high-k’) gate dielectrics, aimed at gate-first integration schemes. Both options are based on further increasing permittivity of the dielectric stack. First, we show that hafnium-based stacks such as TiN/HfO2 can be scaled to capacitance equivalent thickness in inversion (Tinv) of 10 Å and equivalent oxide thickness (EOT) of 6 Å by using silicon nitride instead of silicon oxide as a high-k/channel interfacial layer. This is based on the higher dielectric constant of Si3N4 and on its resistance to oxidation. Although the nitrogen introduces positive fixed charges, carrier mobility is not degraded. Secondly, we investigate whether Ti-based ‘higher-k’ dielectrics have the potential to ultimately replace Hf. We discuss oxygen loss from TiO2 as a main challenge, and identify two migration pathways for such oxygen atoms: In addition to well-known down-diffusion and channel Si oxidation, we have newly observed oxygen up-diffusion through the TiN metal gate, forming SiO2 at the poly-Si contact. We further address the performance of Si3N4 and HfO2 as oxygen barrier layers.  相似文献   

3.
In this paper, a process flow well suited for screening of novel high-k dielectrics is presented. In vacuo silicon capping of the dielectrics excludes process and handling induced influences especially if hygroscopic materials are investigated. A gentle, low thermal budget process is demonstrated to form metal gate electrodes by turning the silicon capping into a fully silicided nickel silicide. This process enables the investigation of rare earth oxide based high-k dielectrics and specifically their intrinsic material properties using metal oxide semiconductor (MOS) capacitors. We demonstrate the formation of nickel monosilicide electrodes which show smooth interfaces to the lanthanum- and gadolinium-based high-k oxide films. The dielectrics have equivalent oxide thicknesses of EOT = 0.95 nm (lanthanum silicate) and EOT = 0.6 nm (epitaxial gadolinium oxide).  相似文献   

4.
We report material and electrical properties of tungsten silicide metal gate deposited on 12 in. wafers by chemical vapor deposition (CVD) using a fluorine free organo-metallic (MO) precursor. We show that this MOCVD WSix thin film deposited on a high-k dielectric (HfSiO:N) shows a N+ like behavior (i.e. metal workfunction progressing toward silicon conduction band). We obtained a high-k/WSix/polysilicon “gate first” stack (i.e. high thermal budget) providing stable equivalent oxide thickness (EOT) of ∼1.2 nm, and a reduction of two decades in leakage current as compared to SiO2/polysilicon standard stack. Additionally, we obtained a metal gate with an equivalent workfunction (EWF) value of ∼4.4 eV which matches with the +0.2 eV above Si midgap criterion for NMOS in ultra-thin body devices.  相似文献   

5.
《Microelectronic Engineering》2007,84(9-10):2222-2225
We will present results for crystalline gadolinium oxides on silicon in the cubic bixbyite structure grown by solid source molecular beam epitaxy. Additional oxygen supply during growth improves the dielectric properties significantly. Experimental results for Gd2O3-based MOS capacitors grown under optimized conditions show that these layers are excellent candidates for application as very thin high-k materials replacing SiO2 in future MOS devices. We also will present a new approach for nanostructure formation which is based on solid-phase epitaxy of the Si quantum-well combined with simultaneous vapor-phase epitaxy of the insulator on top of the quantum-well. Ultra-thin single-crystalline Si buried in a single-crystalline insulator matrix with sharp interfaces was obtained by this approach on Si(111). Finally, the incorporation of crystalline Si islands into single-crystalline oxide layers will be demonstrated.  相似文献   

6.
We show that a thin epitaxial strontium oxide (SrO) interfacial layer enables scaling of titanium nitride/hafnium oxide high-permittivity (high-k) gate stacks for field-effect transistors on silicon. In a low-temperature gate-last process, SrO passivates Si against SiO2 formation and silicidation and equivalent oxide thickness (EOT) of 5 Å is achieved, with competitive leakage current and interface trap density. In a gate-first process, Sr triggers HfO2-SiO2 intermixing, forming interfacial high-k silicate containing both Sr and Hf. Combined with oxygen control techniques, we demonstrate an EOT of 6 Å with further scaling potential. In both cases, Sr incorporation results in an effective workfunction that is suitable for n-channel transistors.  相似文献   

7.
In this work, we present MOS capacitors and field effect transistors with a crystalline gadolinium oxide (Gd2O3) gate dielectric and metal gate electrode (titanium nitride) fabricated in a gentle damascene gate last process. Details of the gate last process and initial results on MOS devices with equivalent oxide thicknesses (EOT) of 3.0 nm and 1.5 nm, respectively, are shown.  相似文献   

8.
Various silicon surface cleaning processes for rapid thermal in-situ polysilicon/ oxide/silicon stacked gate structures have been evaluated. Metal-oxide-semiconductor capacitors were fabricated to assess the effects of cleaning on the quality of gate oxide structures produced by both rapid thermal oxidation (RTO) and rapid thermal chemical vapor deposition (RTCVD). Excellent electrical properties have been achieved for both RTO and RTCVD gate oxides formed on silicon wafers using either an ultraviole/zone (UV/O3) treatment or a modified RCA clean. On the contrary, poor electrical properties have been observed for RTO and RTCVD gate oxides formed on silicon wafers using a high temperature bake in Ar, H2, or high vacuum ambient. It has also been found that the electrical properties of the RTCVD gate oxides exhibit less dependence upon cleaning conditions than those of RTO gate oxides. This work demonstrates that initial surface condition prior to gate oxide formation plays an important role in determining the quality of RTO and RTCVD gate oxides.  相似文献   

9.
《Solid-state electronics》1986,29(4):477-482
An analytical solution for the current-voltage characteristics of inversion layers on p-type silicon (including drift and diffusion) is presented. The method is developed to give information on the equilibrium surface potential and to provide accurate values of the electron mobility and fixed oxide charge. Since the experimental samples are bare SiSiO2 structures the data obtained are not influenced by the presence of a gate electrode as in usual MOS structures.  相似文献   

10.
《Microelectronics Journal》2007,38(4-5):610-614
In this paper, we present a comprehensive study of slow single traps, situated inside the gate oxide of small area (W×L=0.5×0.1 μm2) metal–oxide–semiconductor (MOS) transistors. The gate oxide of the analyzed transistors, which have been used for memory-cell applications, is composed of two SiO2 layers—a deposited high-temperature oxide (HTO) and the thermal oxide. The interface between the two gate oxides is shown to play a significant role in the channel conduction: we observed that the presence of individual traps situated inside the gate oxide, at some angstroms from the interface with the channel, is inducing discrete variations in the drain current. Using random telegraph signal (RTS) analysis, for various temperatures and gate bias, we have determined the characteristics of these single traps: the energy position within the silicon bandgap, capture cross section and the position within the gate oxide.  相似文献   

11.
Gallium nitride (GaN) has attracted much attention due to its outstanding characteristics. It may replace conventional semiconductor materials, such as silicon, that are approaching their physical limitation in terms of power handling, maximum frequency and operation temperature. The native oxide of GaN [gallium oxide (Ga2O3)] has become a potential candidate of gate oxide in GaN-based high power metal-oxide-semiconductor devices. In this paper, properties of Ga2O3 as gate oxide are reviewed. Recent development of various techniques being used to grow or deposit Ga2O3 on GaN are also discussed and compared, with the main focus on thermal oxidation technique and oxide formation mechanism.  相似文献   

12.
The threshold voltage (Vth) model of the novel vertical fully-depleted silicon-on-nothing FET (VFD SONFET) structure is extracted from the compact capacitance equivalent circuit. Due to the absence of the transistor substrate in the VFD SONFET, the channel region is coupled to the source and drain through the buried oxide. Electrostatically, the VFD SONFET resembles the SOI device with thick buried oxide and recessed source/drain, and the developed model can also be applied to these structures. This property is modeled by two-dimensional buried oxide capacitance (CBOX), which competes for the inversion charge with gate oxide capacitance (CGOX). Therefore, the Vth is primarily influenced by the ratio of buried and gate oxide capacitances, with the negligible effect of the silicon body equivalent capacitance and the silicon body charge. The relative impact of CBOX increases with the down-scaling of the effective channel length. In the VFD SONFET structure, the inversion channel can be formed at the back interface of the channel region, due to its coupling to the n+ source and drain regions. However, it is shown by the model that the Vth value is minimally changed in this case, due to a small potential change in the silicon channel. The model accurately predicts Vth in comparison to physical simulations, especially in the long channel region, whereas accuracy drops for shorter channels. The maximum absolute deviation is below 50 mV for the channel lengths above 30 nm.  相似文献   

13.
Polycrystalline silicon gate (phosphorus doped) complementary MOS structures were fabricated with gate oxide thicknesses down to 300 Å. Measurements of the oxide fixed charge, Qss, and of the back-gate bias dependence of the threshold voltage indicate an absence of phosphorus diffusion through the gate oxide during conventional processing.  相似文献   

14.
《Microelectronic Engineering》2007,84(9-10):1964-1967
We have investigated electrical stress-induced charge carrier generation/trapping in a 4.2 nm thick (physical thickness Tphy) hafnium oxide (HfO2)/silicon dioxide (SiO2) dielectric stack in metal-oxide-semiconductor (MOS) capacitor structures with negative bias on the gate. It is found that electron trapping is suppressed in our devices having an equivalent oxide thickness (EOT) as low as 2.4 nm. Our measurement results indicate that proton-induced defect generation is the dominant mechanism of generation of bulk, border and interface traps during stress. In addition, we have shown that constant voltage stress (CVS) degrades the dielectric quality more than constant current stress (CCS).  相似文献   

15.
《Microelectronic Engineering》2007,84(9-10):1898-1901
In this study, we improved the interfacial properties of high-κ gate stacks with the surface treatment of ozonated water prior to deposition of hafnium oxide (HfO2). We demonstrated that the Ozone-oxide improved the electrical properties of the HfO2 gate stack interface in terms of its smoother interface, lower leakage current density, narrower hysteresis width, superior charge trapping effect, and reliability. From these experimental results, we believe that treatment with ozone is an efficient method for the preparation of high-quality interfaces between HfO2 and silicon surfaces.  相似文献   

16.
An electrochemical technique has been used to grow anodic silicon dioxide films of thicknessess between 80 Å and 1100 Å on n-type silicon. The properties of the anodic oxide and the associated Si/SiO2 interfaces have been studied by forming metal-oxide-semiconductor (MOS) capacitors using the anodically grown oxide as the dielectric. MOS transistors have also been fabricated on n-type silicon using anodic gate oxides 100 Å to 1000 Å thick. Their properties and possible applications are discussed.  相似文献   

17.
In this work, we show the existence of flat band voltage transients in ultrathin Gd2O3 dielectric films on silicon, one of the high-k dielectrics nowadays proposed to substitute silicon oxide as the dielectric gate on the future complementary metal-oxide-semiconductor circuit generations. These transients were obtained by recording the gate voltage while keeping the capacitance constant at the value measured at initial flat band condition. The dependencies of transient time constant and amplitude on dielectric thickness and temperature suggest that there are tunnelling assisted processes involved. Time constant appears to be independent on the temperature, whereas the amplitude of the transients is thermally activated with energies in the range of soft-optical phonons usually reported for high-k dielectrics. In the case of gadolinium oxide a phonon energy of 55 ± 10 meV has been obtained. Leakage current behaviour at high electric fields confirms that conduction is governed by a phonon-assisted tunnelling mechanism between localized states in the band gap of the insulator.  相似文献   

18.
19.
In this paper nMOS transistors with GdSiO gate dielectric are studied using electrical methods (C-V, I-V and charge pumping) in order to assess the quality of the dielectric-semiconductor interface. Mobility is estimated using the split C-V technique and the influence of voltage stress on interface trap generation and charge build-up in the oxide is investigated. Generation of additional interface traps is observed during negative voltage stress only, which may be attributed to hole tunneling from the semiconductor to electron traps. Multi-frequency charge pumping measurements reveal the presence of border traps.  相似文献   

20.
This study investigates the effects of oxide traps induced by SOI of various thicknesses (TSOI = 50, 70 and 90 nm) on the device performance and gate oxide TDDB reliability of Ni fully silicide metal-gate strained SOI MOSFETs capped with different stressed SiN contact-etch-stop-layer (CESL). The effects of different stress CESLs on the gate leakage currents of the SOI MOSFET devices are also investigated. For devices with high stress (either tensile or compressive) CESL, thinner TSOI devices have a smaller net remaining stress in gate oxide film than thicker TSOI devices, and thus possess a smaller bulk oxide trap (NBOT) and reveal a superior gate oxide reliability. On the other hand, the thicker TSOI devices show a superior driving capability, but it reveals an inferior gate oxide reliability as well as a larger gate leakage current. From low frequency noise (LFN) analysis, we found that thicker TSOI device has a higher bulk oxide trap (NBOT) density, which is induced by larger strain in the gate oxide film and is mainly responsible for the inferior gate oxide reliability. Presumably, the gate oxide film is bended up and down for the p- and nMOSFETs, respectively, by the net stress in thicker TSOI devices in this CESL strain technology. In addition, the bending extent of gate oxide film of nMOSFETs is larger than that of pMOSFETs due to the larger net stress in gate oxide film resulting from additional compressive stress of shallow trench isolation (STI) pressed on SOI. Therefore, an appropriate SOI thickness design is the key factor to achieve superior device performance and reliability.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号