首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The outstanding electron transport properties of InGaAs and InAs semiconductor materials, makes them attractive candidates for future nano-scale CMOS. In this paper, the ON state and OFF state performance of 30 nm gate length InGaAs/InAs/InGaAs buried composite channel MOSFETs using various high-K dielectric materials is analyzed using Synopsys TCAD tool. The device features a composite channel to enhance the mobility, an InP spacer layer to minimize the defect density and a heavily doped multilayer cap. The simulation results show that MOSFETs with Al2O3/ZrO2 bilayer gate oxide exhibits higher gm/ID ratio and lower sub threshold swing than with the other dielectric materials. The measured values of threshold voltage (VT), on resistance (RON) and DIBL for Lg = 30 nm In0.53Ga0.47As/InAs/In0.53Ga0.47As composite channel MOSFET having Al2O3/ZrO2 (EOT = 1.2 nm) bilayer dielectric as gate oxide are 0.17 V, 290 Ω-µm, and 65 mV/V respectively. The device displays a transconductance of 2 mS/µm.  相似文献   

2.
《Microelectronic Engineering》2007,84(9-10):2150-2153
The potential performance of sub-50 nm n-type implant free III-V MOSFETs with an In0.75Ga0.25As channel is studied using Monte Carlo (MC) device simulations. The simulated ID-VG characteristics of the In0.75Ga0.25As implant free MOSFETs are compared with equivalent In0.3Ga0.7As implant free MOSFETs and with a state-of-the-art silicon CMOS transistors. The study is based on careful calibration of the MC simulator against experimental data obtained from a δ-doped In0.52Ga0.48As/ In0.53Ga0.47As/In0.75Ga0.25As heterostructure with a high-κ gate dielectric. At 0.8 V supply voltage, the 30 nm gate length In0.75Ga0.25As implant free III-V MOSFET delivers a drive current of 1730 μA/μm as compared to the 1550 μA/μm obtained in the equivalent In0.3Ga0.7As implant free MOSFET. When this high indium channel transistor is scaled to 20 and 15 nm gate lengths the drive current at 0.8 V supply voltage increases to 2465 and 2745 μA/μm, respectively, making it a good candidate for high performance, low power digital applications at the 22 nm technology generation and beyond.  相似文献   

3.
In order to reduce the noise and carrier–donor scattering and thereby increase the carrier mobility of the pseudomorphic AlGaAs/InGaAs high electron mobility transistors (pHEMTs), we have grown Al0.25Ga0.75As/In0.15Ga0.85As/In0.3Ga0.7As/GaAs pHEMTs with varied In0.3Ga0.7As thickness, and studied the effects of the In0.3Ga0.7As thickness on the electron mobility and sheet density by Hall measurements and photoluminescence measurements. We calculated the electron and hole subbands and obtained good agreement between calculated and measured PL energies. It was found that the additional In0.3Ga0.7As layer could be used to reduce the carrier–donor scattering, but due to the increased interface roughness as the In0.3Ga0.7As layer becomes thicker, the interface scattering reduced the electron mobility. An optimal thickness of the In0.3Ga0.7As was found to be 2 nm.  相似文献   

4.
Atomic layer deposited (ALD) HfO2/GeOxNy/Ge(1 0 0) and Al2O3/In0.53Ga0.47As(1 0 0) ? 4 × 2 gate stacks were analyzed both by MOS capacitor electrical characterization and by advanced physical characterization to correlate the presence of electrically-active defects with chemical bonding across the insulator/channel interface. By controlled in situ plasma nitridation of Ge and post-ALD annealing, the capacitance-derived equivalent oxide thickness was reduced to 1.3 nm for 5 nm HfO2 layers, and mid-gap density of interface states, Dit = 3 × 1011 cm?2 eV?1, was obtained. In contrast to the Ge case, where an engineered interface layer greatly improves electrical characteristics, we show that ALD-Al2O3 deposited on the In0.53Ga0.47As (1 0 0) ? 4 × 2 surface after in situ thermal desorption in the ALD chamber of a protective As cap results in an atomically-abrupt and unpinned interface. By avoiding subcutaneous oxidation of the InGaAs channel during Al2O3 deposition, a relatively passive gate oxide/III–V interface is formed.  相似文献   

5.
A self-aligned process for fabricating inversion n-channel metal–oxide–semiconductor field-effect-transistors (MOSFET’s) of strained In0.2Ga0.8As on GaAs using TiN as gate metal and Ga2O3(Gd2O3) as high κ gate dielectric has been developed. A MOSFET with a 4 μm gate length and a 100 μm gate width exhibits a drain current of 1.5 mA/mm at Vg = 4 V and Vd = 2 V, a low gate leakage of <10?7 A/cm2 at 1 MV/cm, an extrinsic transconductance of 1.7 mS/mm at Vg = 3 V, Vd = 2 V, and an on/off ratio of ~105 in drain current. For comparison, a TiN/Ga2O3(Gd2O3)/In0.2Ga0.8As MOS diode after rapid thermal annealing (RTA) to high temperatures of 750 °C exhibits excellent electrical and structural performances: a low leakage current density of 10?8–10?9 A/cm2, well-behaved capacitance–voltage (CV) characteristics giving a high dielectric constant of ~16 and a low interfacial density of state of ~(2~6) × 1011 cm?2 eV?1, and an atomically sharp smooth Ga2O3(Gd2O3)/In0.2Ga0.8As interface.  相似文献   

6.
《Microelectronic Engineering》2007,84(9-10):2138-2141
Enhancement mode, high electron mobility MOSFET devices have been fabricated using an oxide high-κ gate dielectric stack developed using molecular beam epitaxy. A template layer of Ga2O3, initially deposited on the surface of the III-V device unpins the GaAs Fermi level while a (GdxGa1−x)2O3 bulk ternary layer forms the highly resistive layer to reduce leakage current through the dielectric stack. A midgap interface state density of ∼2 × 1011 cm−2 eV−1 and a dielectric constant of 20 are determined using electrical measurements.. N-channel MOSFETs with a gate length of 1 μm and a source-drain spacing of 3 μm show a threshold voltage, saturation current and transconductance of 0.11 V, 380 mA/mm and 250 mS/mm, respectively.  相似文献   

7.
Germanium surface and interfaces are modeled based on the requirement that surface charge neutrality is satisfied. It is found that Ge interfaces have remarkable electronic properties stemming from the fact that the energy gap is low and the CNL is located very low in the gap close to the valence band. Because of this, acceptor defects (probably dangling bonds) are easily filled building a negative charge at the interface which easily inverts the surface of n-type Ge at no gate bias and for low doping ND and moderate to high interface state density Dit. This has important consequence in the electrical characteristics of Ge transistors. In p-channel FETs, an undesired positive threshold voltage VT of +0.2 to +0.5 V is predicted depending on ND, Dit and the equivalent oxide thickness. In n-channel FETs, inversion is inhibited and VT could become higher than 1 V if the Dit is well in excess of 1013 eV?1 cm?2.  相似文献   

8.
This work analyses the impact of channel material, channel thickness (TCH) and gate length (Lg) on the various performance device metrics of Double-gate (DG) High Electron Mobility Transistor (HEMT) by using 2D Sentaurus TCAD simulation. A comparison between In0.53Ga0.47As/In0.7Ga0.3As/In0.53Ga0.47As sub-channel and In0.7Ga0.3As/InAs/In0.7Ga0.3As composite channel DG-HEMT along with SG-HEMT is made by characterizing the device with structural and geometrical parameters suitable for applications requiring high frequency operations. The DG-In0.53Ga0.7As/In0.7Ga0.3As/In0.53Ga0.7As sub-channel/DG-In0.7Ga0.3As/InAs/In0.7Ga0.3As composite channel HEMT with channel thickness of 13 nm and barrier thickness (TB) of 2 nm with Lg = 30 nm are seen offering a positive threshold voltage (VT) of 0.298/0.21 V, transconductance (gm) of 3.09/3.3 mS/µm, with cut-off frequency (fT) and maximum oscillation frequency (fmax) of 776/788 GHz and 905/978 GHz, respectively at Vds = 0.5 V is obtained. If the channel thickness of the DG-InAs composite channel device is scaled and reduced to 10 nm, the RF performances are further enhanced to 809 GHz (fT) and 1030 GHz (fmax). Compared to DG-InGaAs sub-channel device, the device with thin DG-InAs composite channel device shows a better performance in terms of drain current (Ids), analog/RF performance thereby making it preferable for future THz applications.  相似文献   

9.
《Solid-state electronics》2006,50(7-8):1175-1177
In0.75Ga0.25As channel layers with a record mobility exceeding 12,000 cm2/Vs for use in high-κ dielectric NMOSFETs have been fabricated. The device structures which have been grown by molecular beam epitaxy on 3″ semi-insulating InP substrate comprise a 10 nm strained In0.75Ga0.25As channel layer and a high-κ oxide based dielectric layer (κ  20). Electron mobilities of 12,033 and 7,042 cm2/Vs have been measured for sheet carrier concentrations ns of 2.5 × 1012 and 6 × 1012 cm−2, respectively.  相似文献   

10.
In this paper, the scalability of In0.7Ga0.3As QWFET is investigated using two-dimensional numerical drift-diffusion simulation. Numerical drift-diffusion simulations were calibrated using experimental results on short-channel In0.7Ga0.3As QWFETs [7] to include the effects of velocity overshoot. Logic figures of merit (sub-threshold slope, saturated threshold voltage, drain induced barrier lowering, ION/IOFF ratio over a specified gate swing, effective injection velocity and intrinsic switching delay) extracted from the numerical simulations are in excellent agreement with the experimental data. Three alternate QWFET device architectures are proposed and thoroughly investigated for 15 nm node and beyond logic applications. Amongst them, double-gate In0.7Ga0.3As QWFET shows the best scalability in terms of logic figures of merit, thus making it an ideal candidate for the design and demonstration of the ultimate scaled transistor.  相似文献   

11.
The electron energy band alignment at interfaces of InxGa1?xAs (0 ? x ? 0.53) with atomic-layer deposited insulators Al2O3 and HfO2 is characterized using combined measurements of internal photoemission of electrons and photoconductivity. The measured energy of the InxGa1?xAs valence band top is found to be only marginally influenced by the semiconductor composition. This result suggests that the observed bandgap narrowing from 1.42 to 0.75 eV when the In content increases from 0 to 0.53 occurs mostly through downshift of the semiconductor conduction band bottom. Electron states originating from the interfacial oxidation of InxGa1?xAs lead to reduction of the electron barrier at the semiconductor/oxide interface.  相似文献   

12.
《Microelectronics Journal》2007,38(10-11):1027-1033
In this paper, we have investigated the electrical characteristics of power lateral double-diffused MOSFETs (LDMOSFETs) having different gate lengths (2.1–3 μm) and drift lengths (6.6–12.6 μm) in the temperature range 100–500 K. The results of this study indicate that gate length and drift region length have a great effect on electrical characteristics, but they have little effect on temperature dependence. The specific on-resistance and the off-state breakdown voltage increase with temperature. The result shows that the specific on-resistance increases exponentially with the exponent 2.2 and, by contrast, the off-state breakdown voltage increases linearly with a slope of 100 mV/K (drift region concentration of measured device: 2×1015 cm−3). As a result, Ron/BV, known for a figure of merit of power device, increases with temperature.  相似文献   

13.
A novel interpretation for conductance spectra obtained by conductance method of La2O3 gated MOS capacitors has been proposed. Two distinct peaks, one with broad spectrum ranging from 10 k to 200 kHz and the other near 1 kHz with a single time constant spectrum, have been observed at depletion condition. The former spectrum can be assigned as the interface traps (Dit) located at the interface between La-silicate and the Si substrate by statistical surface potential fluctuation model. On the other hand, as the latter slow trap signal shows strong influence with the thickness of La-silicate layer, it can be assigned as the trappings (Dslow) at the interface between La2O3 and La-silicate. Finally, the Dit and Dslow trends on annealing temperature are summarized.  相似文献   

14.
In this paper, we present comprehensive results on Al-postmetallization annealing (Al-PMA) effect for the SiO2/GeO2 gate stack on a Ge substrate, which were fabricated by a physical vapor deposition method. The effective oxide thickness of metal-oxide-semiconductor (MOS) capacitor (CAP) was ~7 nm, and the Al-PMA was performed at a temperature in the range of 300–400 °C. The flat band voltage (VFB), the hysteresis (HT), the interfacial states density (Dit), and the border traps density (Dbt) for MOSCAPs were characterized by a capacitance–voltage method and a constant-temperature deep-level transient spectroscopy method. The MOSCAP without Al-PMA had an electrical dipole of ~−0.8 eV at a SiO2/GeO2 interface, which was disappeared after Al-PMA at 300 °C. The HT, Dit, and Dbt were decreased after Al-PMA at 300 °C and were maintained in the temperature range of 300–400 °C. On the other hand, the VFB was monotonically shifted in the positive direction with an increase in PMA temperature, suggesting the generation of negatively charged atoms. Structural analyses for MOSCAPs without and with Al-PMA were performed by a time-of-flight secondary ion mass spectroscopy method and an X-ray photoelectron spectroscopy method. It was confirmed that Al atoms diffused from an Al electrode to a SiO2 film and reacted with GeO2. The dipole disappearance after Al-PMA at 300 °C is likely to be associated with the structural change at the SiO2/GeO2 interface. We also present the device performances of Al-gated p-channel MOS field-effect transistors (FET) with PMA treatments, which were fabricated using PtGe/Ge contacts as source/drain. The peak field-effect mobility (μh) of the p-MOSFET was reached a value of 468 cm2/Vs after Al-PMA at 325 °C. The μh enhancement was explained by a decrease in the total charge densities at/near the GeO2/Ge interface.  相似文献   

15.
We examined the effects of post-annealing in forming-gas ambient on the spin-on-dielectric (SOD)-buffered passivation as well as the conventional plasma-enhanced chemical vapor deposition (PECVD) Si3N4 passivation structure in association with the quantitative analysis of defects at the passivation interfaces of AlGaN/GaN high electron mobility transistors (HEMTs). Before the annealing, the interface state densities (Dit) of the PECVD Si3N4 are one-order higher (1012–1013 cm−2 eV−1) than those of the SOD SiOx (1011–1012 cm−2 eV−1) as derived from CV characterization. Clear reduction in Dit from the PECVD Si3N4 is extracted to a level of 1011–1012 cm−2 eV−1 with a stronger absorption from Si–N peak in Fourier transform infrared spectroscopy spectra after the post-annealing. On the other hand, negligible difference in Dit value is obtained from the SOD SiOx. In this paper we propose that much lower measurement levels (~156 mA/mm) before the annealing and substantial recovery (~13% increase) after the annealing in maximum drain current density of the AlGaN/GaN HEMTs with Si3N4 passivations are due to the original higher density before the annealing and greater reduction in Dit of the PECVD Si3N4 after the annealing. Significant reduction after the annealing in gate–drain leakage current (from ~10−3 to ~10−5 A, 100-μm gate width) of the HEMTs with the Si3N4 passivation is also supposed to be attributed to the reduction of Dit.  相似文献   

16.
Si-based field-plate 0.13 μm gate length metal-oxide-semiconductor field effect transistor (Si MOSFET) with field-plate (FP) lengths of 0.1 μm, 0.2 μm, and 0.3 μm have been fabricated and investigated. The field-plate metals were connected to gate electrode in this study to improve device gate resistance (Rg) resulting in the better microwave performance. By increasing the length of field-plate metal extension (LFPE), the off-state drain-to-source surface leakage current can be suppressed. Besides, low surface traps in FP NMOS also leads to a higher drain-to-source current (Ids) especially at high current regime compared to standard device. The power added efficiency (PAE) was 56.3% for LFPE of 0.3 μm device, and these values where 54.7% and 53.8% for LFPE of 0.2 μm and 0.1 μm devices, respectively. Wider field-plate metal extension exhibits highly potential for low noise amplifier and high efficiency power amplifier applications.  相似文献   

17.
In this paper, electrical behavior of symmetric double gate Ge channel MOSFETs with high-k dielectrics is reported on the basis of carrier concentration formalism. The model relies on the solution of Poisson-Boltzmann equations subject to suitable boundary conditions taking into account the effect of interface trap charge density (Dit) and the Pao-Sah’s current formulation considering field dependent hole mobility. It is continuous as it holds good for sub-threshold, weak and strong inversion regions of device operation. The proposed model has been employed to calculate the drain current of DG MOSFETs for different values of gate voltage and drain voltage along with various important device parameters such as transconductance, output conductance, and transconductance per unit drain current for a wide range of interface trap charge density, equivalent oxide thickness (EOT) and bias conditions. Moreover, most of the important device parameters are compared with their corresponding Si counter parts. Accuracy of the model has been verified by comparing analytical results with the numerical simulation data. A notable improvement of the drive current and transconductance for Ge devices is observed with reference to Si devices, particularly when Dit is small.  相似文献   

18.
Electrical switching characteristics using polycrystalline silicon–germanium (poly-Sil?xGex) gate for P-channel power trench MOSFETs was investigated. Switching time reduction of over 22% was observed when the boron-doped poly-Si gate was replaced with a similarly boron-doped poly-SiGe gate on the P-channel power MOSFETs. The fall time (Tf) on MOSFETs with poly-SiGe gate, was found to be ~11 ns lesser than the poly-Si gate MOSFET which is ~60% improvement in switching performance. However, all the switching improvement was observed during the fall times (Tf). The reason could be the higher series resistance in the switching test circuit masking any reduction in the rise times (Tr). Faster switching is achieved due to a lower gate resistance (Rg) offered by the poly-SiGe gate electrode as compared to poly-silicon (pSi) material. The pSi gate resistance was found to be 6.25 Ω compared to 3.75 Ω on the poly-SiGe gate measured on the same device. Lower gate resistance (Rg) also means less power is lost during switching thereby less heat is generated in the device. A very uniform boron doping profile was achieved with-in the pSiGe gate electrode, which is critical for uniform die turn on and better thermal response for the power trench MOSFET. pSiGe thin film optimization, properties and device characteristics are discussed in details in the following sections.  相似文献   

19.
《Solid-state electronics》2006,50(7-8):1413-1419
The effects of AlxGa1−xN aluminum fraction x and SiC surface pre-treatment on AlGaN/4H–SiC heterojunction interfaces are experimentally investigated. From capacitance vs. voltage measurements, the conduction band offsets are found to be ΔEC  0.30 for x  0.3 and ΔEC  0.56 for x  0.5. Forward bias ideality factors are reasonable at 3.3 for Al0.3Ga0.7N diodes, but >9 for Al0.5Ga0.5N diodes, suggesting a higher level of interface charge related to the higher aluminum fraction. Reverse bias leakage is acceptably low, with breakdown occurring at VA > 200 V reverse bias for all tested devices. The effect of 1500 °C hydrogen etching of the SiC substrate prior to AlxGa1−xN growth is also investigated, and found to have little effect for x = 0.3 but a beneficial effect for x = 0.5.  相似文献   

20.
The study explored titanium dioxide (TiO2) on aluminum gallium arsenide (AlGaAs) prepared by liquid phase deposition (LPD) at 40 °C. The leakage current density was about 8.4 × 10?6 A/cm2 at 1 MV/cm. The interface trap density (Dit) and the flat-band voltage shift (ΔVFB) were 2.3 × 1012 cm?2 eV?1 and 1.2 V, respectively. After rapid thermal annealing (RTA) in the ambient N2 at 350 °C for 1 min, the leakage current density, Dit, and ΔVFB were improved to 2.4 × 10?6 A/cm2 at 1 MV/cm, 7.3 × 1011 cm?2 eV?1, and 1.0 V, respectively. Finally, the study demonstrates the application to the AlGaAs/InGaAs metal–oxide–semiconductor pseudomorphic high-electron-mobility transistor (MOS-PHEMT). The results indicate the potential of the proposed device with a LPD-TiO2 gate oxide for power application.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号