首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Nanoimprint lithographies (NIL) are very promising for NIL applications on flexible plastic films. In this paper we present some studies and developments of the imprint of polyethylene naphthalate (PEN) films. Different NIL processes are developed successfully; they correspond to the imprint of different chemical phases of the material: semicrystalline, amorphous, and melt. The thermal properties of the film are analyzed, and related to imprint results, which demonstrate that high reproducibility and uniformity are obtained. Moreover, the imprint of such flexible films is much more promising since it avoids NIL limitations commonly observed on semiconductor substrates.  相似文献   

2.
Current MEMS fabrication technology cannot satisfy the simultaneous needs of 3D structure fabrication and compatibility with IC manufacturing technology, which have impeded the development of MEMS industrialization to a certain extent. Nanoimprint lithography (NIL) provides a new MEMS fabrication method that is compatible with IC manufacturing technology and bears high throughput and low cost. This paper presents an in-house prototype NIL tool with a high precision automatic alignment system based on moiré fringe signals. Some printing results of nanostructures or micro-devices using the prototype are presented, and hot embossing lithography, one typical NIL technology is depicted in detail by taking microlens array fabrication as an example. High fidelity and fine uniformity demonstrate NIL will be a new method to fabricate 3D structures of MEMS.  相似文献   

3.
Polyethyleneimine (PEI) is used as a scaffold for integrated top‐down/bottom‐up fabrication. In this synergistic strategy, patterned PEI surfaces are created using thermal nanoimprint lithography (NIL) using a sacrificial polystyrene (PS) overlayer. These imprinted surfaces act as versatile templates for assembling nanoparticles and dyes, with the amine groups of the PEI enabling electrostatic assembly, carbodiimide coupling, and dithiocarbamate attachment to the nanoimprinted features. The efficient assembly of particles and dyes is confirmed through fluorescence and atomic force microscopy. In these studies the PS overlayer serves two roles. First, the PS layer protects the PEI surface during the plasma‐etch removal of the residual layer of the NIL process. Second, the PS overlayer serves as a mask, enabling sequential functionalization of the sides and the tops of the PEI features.  相似文献   

4.
We report on the fabrication and characterization of self-aligned organic thin-film transistors with copper gate electrodes structured by nanoimprint lithography (NIL). The process has been improved to increase the compatibility with solution processed materials for future fabrication of fully printed, NIL structured transistors. We provide detailed analysis of the influence of the channel length on the fabricated devices. The on-current, the swing and the onset voltage are studied for channel lengths between 25 μm and 800 nm. The results indicate that for the given system a channel length of 5 μm results in the best device performance regarding the on-current and the subthreshold swing. This work marks a first step towards our goal of fabricating self-aligned NIL OTFTs consisting solely of printable materials.  相似文献   

5.
The reliability of imprint patterns molded by stamps for industrial application of nanoimprint lithography (NIL) is an important issue. Usually, defects can be produced by incomplete filling of negative patterns and the shrinkage phenomenon of polymers in conventional NIL. In this paper, the patterns that undergo a varied temperature or varied pressure period during the thermal NIL process have been investigated, with the goal of resolving the shrinkage and defective filling problems of polymers. This paper also studies the effects on the formation of polymer patterns in several profiles of imprint processes. Consequently, it is observed that more precise patterns are formed by varied temperature (VT-NIL) and varied pressure (VP-NIL). The NIL (VT-NIL and VP-NIL) process has a free space compensation effect on the polymers in stamp cavities. From the results of the experiments, the polymer's filling capability can be improved. VT-NIL is merged with the VP-NIL, resulting in a better filling property. The patterns that have been imprinted in merged NIL are compared with the results of conventional NIL. This study achieves improvement in the reliability of the results of thermal NIL  相似文献   

6.
Nanoimprint lithography (NIL) is an emerging technology that enables cost-effective and high-throughput nanofabrication. Nevertheless, there are some disadvantages to this method, especially for thermal NIL. A major disadvantage of thermal NIL is the thermal cycle, which requires a significant amount of processing time and limits the throughput. One method to overcome this disadvantage is to reduce the processing temperature. Accordingly, it is necessary to determine the effects on the processing parameters for thermal NIL at reduced temperatures and to optimize the parameters. This requires a clear understanding of the behavior of the polymer material during the thermal NIL process. This work focuses on a temperature range of Tg < T < Tg + 40 °C, in which the polymer displays a semi-molten state behavior; this temperature range is lower than conventionally used for thermal NIL. To understand how the processing conditions of temperature, pressure, pattern density, and initial thickness of the polymer resist are related to the quality of a nanoimprinted pattern, simulations of thin polymer films squeezing into nanocavities during thermal NIL were performed using a two-dimensional viscoelastic finite element analysis taking into account stress relaxation behaviors.  相似文献   

7.
Nanoimprint lithography (NIL) is used as a tool to pattern self‐assembled monolayers (SAMs) on silicon substrates because of its ability to pattern in the micrometer and nanometer ranges. The polymer template behaves as a physical barrier preventing the formation of a SAM in the covered areas of the substrate. After polymer removal, SAM patterns are obtained. The versatility of the method is shown in various nanofabrication schemes. Substrates are functionalized with a second type of silane adsorbate. Pattern enhancement via selective electrostatic attachment of carboxylate‐functionalized particles is achieved. Further applications of the NIL‐patterned substrates include template‐directed adsorption of particles, as well as the fabrication of electrodes on top of a SAM.  相似文献   

8.
热压印刻蚀技术   总被引:5,自引:0,他引:5  
纳米压印刻蚀技术是通过压模的方法实现纳米结构批量复制的。这一技术具有高分辨、高效率和低成本的优点。它与现行的光学刻蚀技术流程相似,具有较好的兼容性与继承性。详细介绍了热压印刻蚀技术的核心工艺步骤:压印模板的制备、热压印胶的选择、压模和撤模、反应离子刻蚀以及热压印过程中的聚合物流动机理,探讨了热压印刻蚀技术中的基础科学问题。还分析了纳米压印刻蚀技术的研究现状,展望了纳米压印刻蚀技术的应用前景。  相似文献   

9.
纳米器件的一种新制造工艺——纳米压印术   总被引:5,自引:1,他引:5  
纳米压印术可以用于大批量重复性地制备纳米图形结构。此项技术具有操作简单、分辨率高、重复性好、费时少,成本费用极低等优点。本文介绍了较早出现的软刻印术的两种方法———微接触印刷法和毛细管微模制法。详细讲述了纳米压印术(主要指热压雕版压印法)的各步工序———压模制备、压印过程和图形转移,以及用于压印的设备、纳米图案所达到的精确度等,还简述了纳米压印术的另一方法———步进-闪光压印法。最后,通过范例介绍了纳米压印术在制作电子器件、CD存储器和磁存储器、光电器件和光学器件、生物芯片和微流体器件等方面的应用。  相似文献   

10.
A novel hybrid resist for UV nanoimprint lithography (UV‐NIL) based on the thiol–ene photopolymerization is presented. Our system comprises mercaptopropyl polyhedral oligomeric silsesquioxane and benzyl methacrylate, with trimethylolpropane trimethacrylate as the crosslinker. The obtained hybrid resists possess a variety of characteristics desirable for UV‐NIL, such as low viscosity (6.1–25 cP), low bulk‐volumetric shrinkage (5.3%), high Young's modulus (0.9–5.2 GPa), high thermal stability, and excellent dry‐etch resistance. Based on these performances, the optimized components are evaluated as UV‐NIL resists. The result is a high‐resolution pattern with feature sizes in the range of 100 nm to several microns. The double‐layer resist approach is used for pattern transfer into silicon substrates. The excellent oxygen‐etch resistance of the barrier material enables a final transfer pattern that is about three times higher than that of the original NIL mold.  相似文献   

11.
Nanoimprint lithography (NIL) is a promising candidate technology to fabricate patterned media for the next generation hard disk drives (HDD). The requirement of pattern pitch for the HDD or discrete-track recording (DTR) media will be as small as from 40 to 50 nm by 2011 or 2012. However not only to create such fine pitch but also long e-beam writing time such as 1 week with conventional high resolution resist ZEP520A are critical. This paper addresses the fabrication processes to combine silicon substrate and a new chemically amplified resist (CAR) for the master molds of this NIL. The e-beam writing speed with this new CAR was achieved over 3-times faster while 50 nm fine DTR patterns were demonstrated with rotary stage e-beam writer. Furthermore, the replication with J-FIL from the master mold into quartz working mold was also demonstrated.  相似文献   

12.
Presented here is the novel use of thermoplastic siloxane copolymers as nanoimprint lithography (NIL) resists for 60 nm features. Two of the most critical steps of NIL are mold release and pattern transfer through dry etching. These require that the NIL resist have low surface energy and excellent dry‐etching resistance. Homopolymers traditionally used in NIL, such as polystyrene (PS) or poly(methyl methacrylate) (PMMA), generally cannot satisfy all these requirements as they exhibit polymer fracture and delamination during mold release and have poor etch resistance. A number of siloxane copolymers have been investigated for use as NIL resists, including poly(dimethylsiloxane)‐block‐polystyrene (PDMS‐b‐PS), poly(dimethylsiloxane)‐graft‐poly(methyl acrylate)‐co‐poly(isobornyl acrylate) (PDMS‐g‐PMA‐co‐PIA), and PDMS‐g‐PMMA. The presence of PDMS imparts the materials with many properties that are favorable for NIL, including low surface energy for easy mold release and high silicon content for chemical‐etch resistance—in particular, extremely low etch rates (comparable to PDMS) in oxygen plasma, to which organic polymers are quite susceptible. These properties give improved NIL results.  相似文献   

13.
We introduce a simple thermal oxidation technique for decreasing feature sizes of nanoimprint lithography (NIL) masters. During oxidation, the dimensions of negative features are reduced (e.g., gaps become narrower), and the dimensions of positive features increase (e.g., lines become wider). We demonstrate that positive feature sizes can also be reduced after oxidation by selective etching of the oxide. We show that 74 nm gaps can be reduced to 10 nm and 226 nm lines can be narrowed to 55 nm. The reduction in feature size achieved in both positive and negative structures directly translates into increased imprint resolution, and we demonstrate improved resolution in a complete NIL pattern transfer using thermally oxidized NIL masters.  相似文献   

14.
A novel pull-off test that mimics the actual thermal NIL process was conducted to investigate the adhesion properties between a flat fused silica and thermoplastic polymer film used in thermal NIL process. The pull-off force was measured under various NIL conditions—such as use of various polymer materials, imprint pressures, and separation velocities—and the surfaces of the mold and polymer film were observed after the test. The anti-sticking layer (ASL) derived from (1H,1H,2H,2H-perfluorooctyl)trichlorosilane (F13-OTS) was coated on the fused silica and its effects on the adhesion characteristics was also examined. In cases of the mold without ASL, the pull-off force varied significantly according to the process conditions and damage on the polymer film was observed in most of the tests. In cases of the mold coated with the ASL, on the other hands, the pull-off force was maintained at a lower level in the range of the imprint pressure from 2 to 10 MPa or separation velocity from 1 to 25 μm/s, and there was no damage to the polymer film due to adhesion.  相似文献   

15.
《Microelectronic Engineering》2007,84(5-8):921-924
In this work, a novel nanofabrication technique is presented, namely “Reverse contact Ultraviolet Nanoimprint Lithography” (RUVNIL). It is based on reverse nanoimprint lithography and ultraviolet contact lithography. It provides flexibility in building complex three-dimensional structures allowing selective imprint over pre-patterned surfaces with or without residual layer in opposition to what is often encountered in the normal NIL process. We have investigated and optimized the imprinting parameters that are required for three-dimensional nanofabrication and applied it to the fabrication of nano-fluidic channels. This lithography technique is a very promising process for three-dimensional nanofabrication.  相似文献   

16.
Thermoplastics are commonly used in thermal nanoimprint lithography (NIL) but their high viscosity leads to inhomogeneities of residual thickness in patterns with various densities. Monomers exhibit low viscosity and are imprinted easily and polymerized with UV–NIL processes. These monomers can be also used for thermal NIL. We have imprinted A-POSS material which is spontaneously polymerized at 170 °C. The inorganic part of this monomer is interesting for pattern transfer and for permanent applications. Thermal properties of this molecule are presented in this paper. It is shown that polymerization occurs at 170 °C, and that the viscosity is 1330 mPa s at ambient temperature. Imprint experiments have demonstrated that A-POSS flows over larger surfaces during imprint step, compared to thermoplastics. Patterns with different densities have been studied and different filling regimes have been observed depending on material viscosity. They are induced by a competition between material flow and mold deformation. Finally, we imprinted some nanoelectrodes simultaneously with millimetric large connection pads, and it was demonstrated that complete filling was obtained with monomers whereas this was not possible with thermoplastics.  相似文献   

17.
We reported the replication of sub-100 nm nanostructures by an ultraviolet (UV) nanoimprint lithography (NIL) technique. We used a novel UV curable epoxy siloxane polymer as the NIL resist to achieve features as small as 50 nm. The polymeric soft molds for the NIL were fabricated by casting toluene diluted poly(dimethyl-siloxane) (PDMS) on the hydrogensilsesquioxane (HSQ) hard mold. The NIL results were characterized by using a scanning electron microscope and an atomic force microscope. Our results illustrate that, with the epoxy siloxane resist, the 50 nm HSQ features on the hard mold can be successfully replicated using PDMS soft molds.  相似文献   

18.
The global LED (light emitting diode) market reached 5 billion dollors in 2008 and will be driven towards 9 billion dollors by 2011 [1]. The current applications are dominated by portable device backlighting, e.g. cell phones, PDAs, GPS, laptop etc. In order to open the general lighting market doors the luminous efficiency needs to be improved significantly. Photonic crystal (PhC) structures in LEDs have been demonstrated to enhance light extraction efficiency on the wafer level by researchers [2]. However, there is still a great challenge to fabricate PhC structures on LED wafers cost-effectively. Nanoimprint lithography (NIL) [3] has attracted considerable attentions in this field due to its high resolution, high throughput and low cost of ownership (CoO). However, the current NIL techniques with rigid stamps rely strongly on the substrate flatness and the production atmosphere. Those factors hinder the integration of NIL into high volume production lines. UV-NIL with flexible stamps [4], e.g. PDMS stamps, allows the large-area imprint in a single step and is less-sensitive to the production atmosphere. However, the resolution is normally limited due to stamp distortion caused by imprint pressure.A novel NIL technique developed by Philips Research and Süss MicroTec, substrate conformal imprint lithography (SCIL), bridges the gap between UV-NIL with rigid stamp for best resolution and soft stamp for large-area patterning. Based on a cost-effective upgrade on Süss mask aligner, the capability can be enhanced to nanoimprint with resolution of down to sub-10 nm on an up to 6 inch area without affecting the established conventional optical lithographic processes on the machine. Benefit from the exposure unit on the mask aligners, the SCIL process is now extended with UV-curing option, which can help to improve the throughput dramatically. In this paper, the fabrication of photonic crystal structures with SCIL technique on Süss MA6 mask aligner is demonstrated. In addition, the industrialization considerations of UV-SCIL process in high volume manufacturing are briefly discussed.  相似文献   

19.
This paper presents a novel strategy for aligning patterns created with nano-imprint lithography (NIL) and UV lithography, similar to a mix-and-match process, which allows for the fabrication of large and small features in a single layer of resist. The resin used to demonstrate this new imprinting scheme is SU-8, a very widely used negative photoresist. Rapid stamp manufacturing using ma-N 2405 photoresist is also demonstrated. The processing scheme is a promising candidate for patterning of sensors featuring nanometre sized electrodes.  相似文献   

20.
Nanoimprint lithography (NIL) has been recognized as a high-throughput and low cost fabrication process for manufacturing sub-wavelength nanostructures. We use direct imprint process to transfer nanoscale patterns on metallic films coated on silicon wafers. This work is dedicated to investigate the effects of the factors, which include mold geometry, film thickness and imprinting process parameters, on the quality of pattern transfer in a direct nanoimprint process. An atomic force microscopy (AFM), a scanning electron microscopy (SEM) and a transmission electron microscopy (TEM) are utilized to confirm the formation height and the surface topography of the transferred patterns after the imprinting process. The formation height ratio is utilized as an index for analyzing the quality of formation. The experimental results illustrate that those factors obviously effect the formation. In addition, the relationships between linewidth-to-pitch ratio (LPR), film thickness, working temperature and holding time are determined. The formability of the metallic thin films can be improved through suitable control of these parameters.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号