首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The quality of the surface of a semiconductor structure after plasma-chemical etching in plasma of HCl/Ar, HCl/Cl2, HCl/H2 mixtures, and freon R12 plasma is studied. It is shown that the optimal combination of the etch rate and surface roughness is achieved in the hydrogen chloride and argon mixture. In mixtures with hydrogen, the etch rates are too low for high surface quality; in mixtures with chlorine, the surface roughness exceeds technologically acceptable values due to high etch rates. The high-frequency discharge in freon R12 can be effectively used to etch semiconductors, providing technologically acceptable interaction rates, while retaining a uniform and clean surface.  相似文献   

2.
In this paper, diamond wire sawn (DWS) mc-Si wafers were textured using Ag-assisted electroless etching (AgNO3+HF+H2O2) combined with an auxiliary etching (HF+HNO3). The evolution mechanism of the surface texture structure on the wafers was investigated in detail. It was noted that during the AgNO3+HF+H2O2 etching, there existed the difference in the axial direction and the depth of nano-pores among different grains. This difference made the different grains form different texture structures during the following HF+HNO3 etching. Since the etching of poly-silicon is isotropic in HF+HNO3 solution, it was the AgNO3+HF+H2O2 etching rather than the auxiliary etching (HF+HNO3) that resulted in the different texture structure among different grains. During the HF+HNO3 etching, the diameter of the etched pits on the wafer surface was enlarged quickly through the adjacent pits combination. The depth of the etched pits hardly increased because the top and bottom of pits were etched at the same time. As a result, the ratio of depth to diameter of the pits decreased. The texture difference among the grains and decrease of the ratio of depth to diameter of the pits limited the further enhancement of the PV efficiency of mc-Si solar cell. Therefore, the improving the uniformity of AgNO3+HF+H2O2 etching for the different crystal orientation grains and obtaining a large ratio of depth to diameter of the pits during the HF+HNO3 etching are two critical issues to enhance the PV efficiency of mc-Si solar cell.  相似文献   

3.
This paper describes a technique for dry etching SiO2 layers in MEMS technologies without the moving elements sticking. Etching the sacrificial SiO2 in anhydrous HF (hydrofluoric acid in the gas phase) allows avoiding the subsequent complex operations of cleaning and drying, which are mandatory in the case of liquid etching. Using the HF/C2H5OH anhydrous mixture under low pressures makes it possible to prevent water condensation, which is due to etching in HF vapor, and allows one to employ gas-phase etching in surface MEMS technologies. The mechanisms and physicochemical processes taking place when etching thermal SiO2 are discussed. The rate of etching thermal SiO2 films is investigated at temperatures ranging from 30 to 50°С and under chamber pressures ranging from 10 to 20 kPa.  相似文献   

4.
On the basis of numerical modeling, the effect of oxygen concentration on the etching rate of silicon in a CF4/O2 plasma is investigated. The calculations are carried out with the use of an improved model of a nonisothermal reactor with multicomponent kinetics incorporating F, F2, CF2, CF3, CF4, C2F6, O, O2, CO, CO2, COF, and COF2; altogether, there are twelve reagents. The competition of processes of interaction of fluorine with silicon and oxygen chemisorption on the wafer surface is considered the central mechanism responsible for reducing the etching rate. An improved model for describing the competing processes of etching, chemisorption of O and CF2, and adsorption of CF2 and CF3 radicals on silicon is proposed. The effect of model parameters on the etching rate is investigated. The chemisorption of O on silicon dominates over the adsorption processes of the CF2 and CF3 radicals. It is shown that the ratio of sticking coefficients of the fluorine and oxygen atoms substantially affects the location of the maxima of the spontaneous etching rate and concentration of active particles depending on the oxygen concentration in the mixture. If these coefficients are equal, the peak value of the spontaneous etching rate is attained at an oxygen concentration 10–15% lower than the concentration of active fluorine in the reactor volume.  相似文献   

5.
Plasma etching of SiO2} with C2}F6} in a radial flow reactor was investigated to determine relationships between chemical aspects of the discharge, operating parameters such as power and flow rates, and uniformity of etching over a large area. The chemical conversion of C2}F6} in the discharge was monitored by infrared spectrometry of the exhaust gases, as a function of discharge power and gas flow rate. The input gas was found to be transformed mainly to CF2} and a polymeric material, and at sufficiently long residence times (∼1 sec) a "steady state " was achieved. At the steady state condition C2}F6} was found to be ∼60% converted. The amount of conversion was not influenced by the presence of SiO2} being etched, however, the production of CF4} was reduced by the presence of SiO2}. For a known flow rate and reactor dimensions the concentrations of species as a function of radial position in the reactor were calculated. It was demonstrated that the etch rate of SiO2} was dependent on this radial concentration profile. Uniform etching was obtained if the etching zone lay entirely within the "steady state" region of the discharge. Reduced etch rates or polymerization on the substrates was observed if large concentration gradients (rich in C2}F6}existed in the etching zone. It was concluded that the etch rate of SiO2} is dependent then on the local gas composition in the discharge, and can be manipulated by means of adjusting power and gas flow rates.  相似文献   

6.
Dependences of the etch rates for KOH and HF:H2O2:CH3COOH solutions on SiGe layer composition were investigated. The obtained results has been proposed to use for formation of the submicron relief on the silicon surface via selective etching of the structures with Ge(Si) self-assembled nanoislands. In the framework of the proposed approach the Ge(Si) nanoislands serve as a mask for selective etching of Si in a mixture of an aqueous solution of KOH with isopropyl alcohol, followed by the islands removal from the surface by the selective etching in HF:H2O2:CH3COOH. It was demonstrated experimentally that such approach allows to produce the submicron relief on a silicon surface, which leads to the significant decrease of the reflectivity in a wide spectral range. It is believed that the proposed method of surface relief formation can be used to improve the efficiency of the thin-film solar cells based on the crystalline silicon.  相似文献   

7.
A survey dealing with the technology of the formation of three-dimensional structures in silicon carbide substrates is presented. As for technology, this problem can be solved by variational ion-stimulated plasma-chemical etching, and most successfully by the source of inductively coupled plasma (ICP). Silicon carbide consists of silicon and carbon that form volatile fluorides in the reaction with fluorine. The etching reaction takes place in the interaction of silicon and carbon with reactive intermediates and fluorine ions. That is why the fluorine-containing gas, sulfur hexafluoride SF6 in most cases (often with an admixture of oxygen and sometimes argon), is used for the plasma-chemical etching of silicon carbide. The materials that do not react with fluorine are applied for masking during the plasma-chemical etching of silicon carbide. The films of metals such as Cu, Al, and Ni are mainly used and silicon oxide is less used. The formation of through-holes in these substrates followed by the metallization of the holes is a particularly important technological concept related to the plasma-chemical etching of the SiC substrates with the deposited GaN epitaxial layers. Examples of the use of ICP sources for the formation of micro- and nanosize three-dimensional structures in silicon carbide are given. Among them, the formation of the through-holes in the substrates of silicon carbide with epitaxial layers of gallium nitride is discussed.  相似文献   

8.
宋登元  郭宝增  李宝通 《激光技术》1999,23(3):190-193
利用聚焦的Ar+激光束诱导的方法,实现了对浸入HF:H2O(1:20)腐蚀液中的N型单晶Si样品的腐蚀,证明这种湿刻过程是一种由光生电子-空穴对引起的电化学腐蚀,腐蚀坑具有侧壁平滑的高斯状结构。腐蚀特性与入射激光功率以及Si掺杂浓度有关。应用激光诱导无电极电化学腐蚀电路模型对实验结果进行了合理的解释。  相似文献   

9.
A study of kinetics and modes of plasmachemical etching of GaAs under conditions of induction HF discharge in CCl2F2 is carried out. It is confirmed that the main chemically active particles providing the etching of GaAs are the chlorine atoms. It is shown that the character of kinetic curves and the form of dependences of the etching rate on gas pressure is determined by the energy of ions that bombard the surface. It is established that there is a satisfactory correlation between changes of the rate of decrease of the sample mass and the concentration of etching products in the discharge gas phase in the etching process in the stationary mode.  相似文献   

10.
FIB-induced electrostatic discharge (ESD) is a major limiting factor for achieving successful and efficient circuit modifications of LSI. We have discovered a novel antistatic technique applicable to high-current (nanoampere-order) FIB gas-assisted etching with XeF2. The method simply utilizes inclined incidence of FIB by sample tilting. The effect of the sample tilting technique on preventing ESD was investigated using a logic LSI device fabricated by 0.13 μm technology and eight-layer copper metallization. The result demonstrated that inclined incidence of 60° prevents ESD even for high-current (12.5 nA) FIB gas-assisted etching with XeF2. The mechanism of ESD suppression by the sample tilting technique was proposed by taking account of the presence of processing gas molecules and the tilting-angle dependences of ion range, back-scattering yield, secondary-electron yield and etching rate. In the case of FIB irradiation at inclined incidence with XeF2 gas flow, more secondary-electrons and sputtered particles are ejected with higher energies than those for the case of normal incidence. This leads the ionization probabilities of neutral gases and the sputtered particles to be increased, and results in producing increased low-energy electrons around the FIB processing area. These low-energy electrons suppress the FIB-induced positive charging.  相似文献   

11.
Porous silicon films obtained by the metal-assisted vapor-chemical etching technique have been characterized. For the film formation, epitaxial (100) N/P+, 1–5 Ω cm monocrystalline silicon wafers were used. The vapors of an alcoholic solution of H2O2/HF were drawn towards the silicon surface, which was previously covered with a thin layer of gold (~8 nm) for the catalytic etching. For the optical and morphological characterization of porous films, Raman spectroscopy, Ellipsometry, FTIR spectroscopy and SEM images were used. The films thickness kept a linear relationship with etching time. A porosity gradient from the surface towards the interface (65% to 12%) was observed in the films. A large amount of Si–H bonds as related to O–Si–O bonds were observed and the pore size depends on the HF concentration. Irregular morphology was found in films formed with 50% HF.  相似文献   

12.
This article studied the resistance of the negative electron resist based on hydrogen-silsesquioxane (HSQ) depending on the dose of exposure in the process of Reactive Ion Etching (RIE). These studies showed the strong dependence of resistance on irradiation dose (in case of full development of the e-beam resist) even after annealing the resist 30 minutes 400°C in air. Selectivity up to 14 was obtained in the process of reactive ion etching of silicon in a mixture of gases SF6: C4F8. These results can be used to manufacturing of silicon nanoscale structures. It was shown that the resistance to wet etching in a 5% solution of hydrofluoric acid (HF) is also determined by irradiation dose. Additionally, taking into account the obtained results, silicon nanowires of width 10 nm with an aspect ratio of 1: 10 was manufactured.  相似文献   

13.
This paper deals with the influence of the oxygen additive on the fluorinated plasma etch rate of silicon carbide. The assumption according to which the oxygen has a direct contribution to silicon carbide etching, by chemical reaction with carbon atoms, is generally reported in the literature. Our etching experiments are performed in a distributed electron cyclotron resonance reactor, on both 3C- and 6H-SiC. An SF6/O2 gas mixture (avoiding the presence of C species in the plasma), fluorine saturation conditions and constant ion bombardment energy and flux are used, allowing the study of O2 contribution exclusively. In these conditions, our results demonstrate the neutrality of O2 on SiC etching mechanisms. These results will be discussed reinfored both by several other experimental observations.  相似文献   

14.
The uniformity of the (BF3 + 2% Ar) plasma is investigated by the two-view emission’s optical tomography in an experimental plasma-chemical reactor. This made it possible to reconstruct the lateral concentration distribution of both the ion plasma component B+ and free radicals F*. To investigate the possibilities of a tomographic algorithm, the experiment was performed at various pressures in the reactor chamber, an asymmetric gas input was used, artificial nonuniformities of the near-wall magnetic field were introduced, and the influence of the silicon wafer on the lateral particle’s distribution was investigated.  相似文献   

15.
The optimum mode of the in situ plasma-chemical etching of a Si3N4 passivating layer in C3F8/O2 medium is chosen for the case of fabricating AlGaN/AlN/GaN НЕМТs. It is found that a bias of 40–50 V at a high-frequency electrode provides anisotropic etching of the insulator through a resist mask and introduces no appreciable radiation-induced defects upon overetching of the insulator films in the region of gate-metallization formation. To estimate the effect of in situ Si3N4 growth together with the heterostructure in one process on the AlGaN/AlN/GaN НЕМТ characteristics, transistors with gates without the insulator and with gates through Si3N4 slits are fabricated. The highest drain current of the AlGaN/AlN/GaN НЕМТ at 0 V at the gate is shown to be 1.5 times higher in the presence of Si3N4 than without it.  相似文献   

16.
The plasma parameters and mechanisms of gallium arsenide (GaAs) reactive plasma etching in HCl-Ar and HCl-Cl2 mixtures under constant-current glow discharge conditions were investigated. The mathematical simulation of plasma helped to establish that the dilution of HCl with argon or chlorine results in opposite changes in the flux densities of chlorine atoms and ions. It was shown that variation in the GaAs etching rate in the HCl-Ar mixture corresponds to a change in the density of the chlorine atomic flux density on the surface. It was suggested that the nonmonotonic dependence of the etch rate upon the HCl-Cl2 mixture composition is caused by a change of the etching regime (its limiting stage) when the degree of coverage of the processed surface with the interaction of products varies.  相似文献   

17.
The formation mechanism, composition, and properties of an oxide film that grows on an Si3N4 mask during the LOCOS process are studied experimentally. The effect of the HF etching of the mask oxide film on the profile of the bird's beak is investigated for different etching conditions.  相似文献   

18.
The effect of argon and helium additives on the kinetics of GaAs etching in high-frequency (HF) CF2Cl2 plasma has been analyzed. It is shown that dilution of the CF2Cl2 with argon or helium at a 1: 1 ratio insignificantly decreases the etching rate. An application of the bias power onto a substrate holder leads to  相似文献   

19.
Aqueous etchants used in traditional wet etching for the production of integrated circuits and MEMS devices hinder the processes and pose environmental difficulties. Therefore, we developed an improved dry etching method with HF/Pyridine (7:3) in supercritical carbon dioxide. Etch rates of BPSG, P-TEOS, Thermal SiO2 and SiN with dry etching method were several times higher than those in wet etching. Etch rates were found to be a function of temperature, HF concentration, and the kind of co-solvents. The presence of alcoholic co-solvents, especially IPA with HF/Pyridine etchant greatly increased the etch rate of BPSG. Etch selectivity could be controlled with the etchant concentration.  相似文献   

20.
《Solid-state electronics》1986,29(6):607-611
Electrical measurements on titanium-Schottky diodes were used to evaluate the effects of reactive ion etching (RIE) processing and various post-RIE treatments on silicon substrates. Based on a model for the Schottky barrier with an interfacial layer, the measurements allow one to estimate the effect of an oxygen treatment or an O2-RIE clean-up with a wet chemical etch on the C- and F-containing insulator layer and the residual damage to a silicon surface by different RIE systems. It was shown that the oxygen treatment reduced the thickness of the “polymeric” layer, and decreased the density of surface states. A significant residual damage after O2 clean-up with HF dip was found for the CF4/H2 system. Lastly, the effect of thermal annealing at 950°C was investigated, and it was found that it gives rise to an increased density of surface states.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号