首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 37 毫秒
1.
SAMPLE simulations of the performance of the contrast-enhancement material CEM-388 from General Electric were made using measured material parameters. Addition of an enhancement layer to a single-layer resist process significantly reduces the linewidth variations due to the standing-wave effect. Addition of an enhancement layer to a bilevel resist process containing dye in the bottom layer marginally improves defocus performance.  相似文献   

2.
《Microelectronic Engineering》2007,84(5-8):750-754
We demonstrate a simple method, which is combining modified illumination and defocus techniques to fabricate sub-wavelength antireflective structures for solar cells. The optimum pyramid resist and silicon profiles can be obtained after exposure, development and common dry etching processes. The reflection and transmission properties are analyzed by the rigorous coupled-wave analysis in two-dimensional microstructure and find the reflectance is dramatically increased as consideration of all diffraction orders. Therefore, patterning the sub-wavelength texturing structures for eliminating the diffraction order light is important. Patterning sub-wavelength structures should use the short wavelength combining defocus exposure or using a suitable modified illumination exposure system. The optimized pyramid structures are simulated in dosage-focus matrix with different types of light source. Results show the quadrupole modified illumination system with large process latitude is suitable for patterning sub-wavelength pyramid structures.  相似文献   

3.
Patterning technology to print thick resist patterns with triangular and semi-circular cross-section profiles was investigated for applying to fabrication of light-guide plates and lens arrays, surface texturization of solar cells, and others. Positive novolac resist PMER P-LA900PM with an initial thickness of 10 μm was used and the patterns were mainly printed by the exposure light with a wavelength of 405 nm. At this wavelength, the light transmittance through the resist film was 0.5% and 80% before and after the exposure, respectively. Caused by this moderate transmittance characteristics, pattern sidewalls suitably inclined or roundly curved. When 400 μm line-and-space reticle patterns were printed using a projection exposure lens with a reduction ratio of 1/19 and a numerical aperture of 0.125, triangular patterns were obtained under the defocus conditions of around ?100 μm. The sidewall angle was widely controlled between 20° and 55° by mainly changing the exposure time. On the other hand, semi-circular profiles were obtained when patterns were printed at the defocus position of +100–200 μm. It was clarified that the circular radius depended only on the defocus position and did not depend on the exposure time. Patterns with circular radiuses of 9–34 μm were successfully obtained.  相似文献   

4.
离焦模糊数字图像的Wiener滤波频域复原   总被引:9,自引:0,他引:9  
离焦模糊图像的退化模型可用均匀分布的圆盘函数表示 ,其对应的圆盘半径是需辨识的退化模型参数 (即模糊半径 )。利用模糊图像的频率域中的零点位置来估计模糊半径 ,采用简化Wiener滤波对模糊图像进行复原。实验结果表明该方法能够以较少的运算时间代价获取较好的复原效果 ,适用于信噪比高的离焦模糊图像的快速复原  相似文献   

5.
基于离焦技术的光内送粉堆积变径体壁厚控制研究   总被引:5,自引:0,他引:5  
蔡伟  傅戈雁  石世宏  张甲  朱萍  张迎寅 《中国激光》2012,39(7):703003-72
分析了光内同轴送粉激光熔覆技术堆积变径回转体时壁厚"越堆越薄、薄厚不均"现象的成因,提出控制离焦量来逐层补偿熔池液态金属流失的方法,以保持熔覆层宽度不变。基于大量的实验数据得出离焦量变化量与变径回转体斜率之间的关系式,从而可在堆积过程中根据变径回转体斜率的变化实时控制离焦量的变化。通过实时控制离焦量,同时控制送粉量与激光功率,得到了表面质量较好、壁厚均匀的几个典型的变径回转体。成形件的金相组织细密,力学性能优良。对成形件进行了硬度测量,总体硬度相对基体较高且分布均匀。  相似文献   

6.
基于离焦深度法设计了自动对焦光路,光路由激光 光源,准直扩束透镜,调焦透镜,摄 像头组成。使用ZEMAX软件模拟了对焦过程,通过控制调焦透镜的位置获取光斑半径,计算 对焦位置。 移动调焦透镜,分别在距离对焦目标5.62 cm,7.38 cm,14.02 cm,16.88 cm,20.94 cm处得到了弥散光斑 的直径。计算得到的误差在1mm以内。基于此,实际搭建了对焦光路并进行模拟。采用位移 滑轨模拟透 镜的变焦运动,由摄像头获取物面上的激光斑点,通过MATLAB编程来快速获得光斑直径的之 间的比例。 结果显示,对焦结果误差在1cm以内,考虑到导轨读数存在误差,摄像头像素有限,激光功 率不稳定等原 因,虽然实际结果与理论模拟之间存在差异,但证实了此方法的可行性。后续工作将用位移 平台替换手动 滑轨,编程精密控制透镜的移动位置,实现自动对焦。研究工作为改进本课题组已经开发的 便携式高灵敏 毒品荧光检测系统提供技术参考。  相似文献   

7.
A three-dimensional radar backscatter model of forest canopies   总被引:5,自引:0,他引:5  
A three-dimensional forest backscatter model, which takes full account of spatial position of trees in a forest stand is described. A forest stand was divided into cells according to arbitrary spatial resolution. The cells may include “crown”, “trunk”, and “gap” components, determined by the shape, size and position of the trees. The forest floor is represented by a layer of “ground” cells. A ray tracing method was used to calculate backscattering components of 1) direct crown backscatter, 2) direct backscattering from ground, 3) direct backscattering from trunk, 4) crown-ground scattering, and 5) trunk-ground scattering. Both the attenuation and time-delay of microwave signals within cells other than “gap” were also calculated from ray tracing. The backscattering Mueller matrices of these components within the same range intervals were incoherently added to yield the total backscattering of an image pixel. By assuming a zero-mean, multiplicative Gaussian noise for image speckle, the high-resolution images were aggregated to simulate a SAR image with a given spatial resolution and number of independent samples (looks). A well-characterized 150 m×200 m forest stand in Maine, USA, was used to parameterize the model. The simulated radar backscatter coefficients were compared with actual JPL SAR data. The model gives reasonable prediction of backscattering coefficients averaged over the entire stand with agreement between model and data within 1.35 dB for all channels. The correlations between simulated images and SAR data (10 by 15 pixels) were positive and significant at the 0.001 level for all frequencies (P, L, and C bands) and polarizations (HH, HV, and VV)  相似文献   

8.
大型平行光管在进行空间环境模拟实验中由于受到温度、气压大幅改变的影响,离焦现象较为严重,为了解决该状态下平行光管离焦量检测难度较大、检测精度不高等问题,提出了一种针对大口径长焦距平行光管焦面位置检测的新方法,可以对平行光管的离焦量进行实时的监测。分析了由五棱镜引入的主要系统误差,并使用更新基准数据的方法将其修正。在口径为700 mm 焦距为18 m 的平行光管上进行了实验,结果表明该系统检焦精度在150 m 以内,满足实验室对大型平行光管焦面位置精度小于200 m 的指标要求。  相似文献   

9.
针对聚焦深度(DFF)法对焦速度慢的缺点,提出了 一种适用于任意目标的离焦深度(DFD)快速自动聚焦 算法。在仅改变成像系统镜头位置的条件下,应用光学成像系统离焦模型,推导了以模糊差 异为参量的离 焦深度的计算公式。采用S变换得到两幅不同离焦程度图像的模糊差异,并利用推导得到的 公式计算出目 标物体的深度信息,从而调节镜头位置完成自动聚焦。实验结果表明,本文算法适用于任意 目标物体,仅需 要两幅不同程度的离焦图像,能够以较高精度估计出目标物体的深度信息,从而实现快速自动聚 焦。  相似文献   

10.
Bubble trapping in the template pattern during the resist filling process is one of the most serious issues in UV-nanoimprint lithography. The mechanism of bubble trapping is studied based on a numerical analysis of the resist flow in a simple model. Flow behavior of water-like low viscosity liquid as a resist is investigated for particular structures of the template and the contact angles for the template and the substrate. Time evolutions of the flow of the resist are simulated and the mechanism of bubble trapping is demonstrated. The results show that large contact angle between the resist and the template causes bubble trapping, however the contact angle between the resist and the substrate does not greatly influence the results.  相似文献   

11.
An exposure model for electron-sensitive resists   总被引:1,自引:0,他引:1  
We present a mathematical model for the exposure of electron-sensitive resists where an electron beam is incident normal to a substrate coated with a thin layer of resist. We include both the scattering of the incident electrons as they penetrate the resist and the electrons backscattered from within the resist and from the substrate. The calculations yield contours of equal absorbed energy density, and these are interpreted as the contours which bound the resist after development. The absorbed energy density is found as the sum, for all electrons, of the product of the energy absorbed per unit length of trajectory and the flux density of electrons at the point in question. We first calculate the absorbed energy density for an electron beam of vanishingly small cross section (an incident delta function) and then convolve that result with a beam of Gaussian current-density distribution to obtain the reSult for a single beam location. For poly(methyl methacrylate) resist, we study the achievable dot resolution, as a function of the incident charge, for various incident energies-and substrates. Since our main interest is in computer-controlled resist exposures in which patterns are generated as a succession of dots, we calculate the absorbed energy density contours for a line generated in that manner. Detailed comparison is made with the experimental results of Wolf et al., by fitting a single point on one contour at one beam energy to account for the unknown developer sensitivity. The resulting contours predict the undercutting effect experimentally observed for the 5-20-keV beam energies studied. The developed shape and linewidth are found to be nonlinear functions of the incident charge per unit length. Experimental data for the linewidth at 20 keV are presented and compared with theory.  相似文献   

12.
电子束光刻三维仿真研究   总被引:2,自引:0,他引:2       下载免费PDF全文
宋会英  杨瑞  赵真玉 《电子学报》2010,38(3):617-619
本文利用Monte Carlo方法及优化的散射模型,对电子束光刻中电子在抗蚀剂中的散射过程进行了模拟,通过分层的方法,对厚层抗蚀剂不同深度处的能量沉积密度进行了计算,建立了电子束光刻厚层抗蚀剂的三维能量沉积模型。根据建立的三维能量沉积模型,采用重复增量扫描策略对正梯锥三维微结构进行了光刻仿真。理论分析和仿真结果表明,利用分层的三维能量沉积分布模型能更精确地实现电子束光刻的三维仿真。  相似文献   

13.
随着超大规模集成电路 (VLSI)图形密度的增大 ,邻近效应已成为光学光刻的关键问题之一。通常在平整硅片上对 0 5 μm图形采用 0 5 4NA和传统的单层i线抗蚀工艺时 ,密集图形和孤立图形间的线宽差异大约为 0 0 8μm。然而 ,这一线宽差异已严重地影响了实际生产的工艺稳定性。阐述了邻近效应对图形尺寸、线条与间隙占空比、衬底膜种类、曝光过程的散焦效应、与抗蚀剂厚度变化有关的抗蚀工艺条件和显影时间的依赖性。同时 ,采用 2种不同抗蚀剂实验监测了不同潜像对比度引起的关键尺寸 (CD)偏差。为减小实际图形因抗蚀剂厚度变化引起的CD差异 ,获得最佳抗蚀剂厚度 ,进行了一种模拟研究。  相似文献   

14.
A new high-speed integrated electrical test structure is developed to measure registration accuracy between a conductive layer and a insulating layer in a single chip. This structure utilizes a pair of digitally readable tapered comb (TC) patterns as a vernier. This eliminates measurement errors due to process variations such as resist pattern fluctuation or overetching. By incorporating transfer gate arrays and a shift register to sequentially address a desired position, a measurement speed of 500 µs/point is achieved.  相似文献   

15.
An Ag/Se-Ge inorganic resist technology is applied to photolithographic processes in LSI fabrication. This paper describes exposure latitude, RIE characteristics, resist fabrication and exposure throughputs, pattern alignment, defocus tolerances and fabrication yields of Al interconnection.Lateral Ag diffusion does not effectively improve the exposure tolerance. The technology exhibits good compatibility with other equipment and technologies, offering satisfactory throughput. Excellent accuracy in pattern alignment is obtained owing to dry-deposition fabrication and the suitable optical properties of the Se-Ge inorganic film, which result in regulated and distinct alignment signals. Defocus tolerance in the resist is larger than that of polymer resist by 2 ∽ 3 μm in the submicron pattern. Al interconnections using this resist demonstrate a short-circuit failure rate of less than 1% and small variation in linewidth having a standard deviation of σw = 0.08 μm.In practical processes, the significant advantages of this resist are its bilayer resist structure (doped Ag-Se-Ge and underlying Se-Ge) and its dry deposition, very thin, favorable optical characteristics.  相似文献   

16.
针对离焦模糊图像,圆盘离焦模型和高斯离焦模型的还原效果并不理想,提出基于圆对称性的离焦模糊图像还原算法。通过刃边函数估算模糊半径,利用信噪比还原图像,进而计算图像的信号功率谱。提出改进的DCT分块加权清晰度评价函数,对DCT系数作指数加权优化,并根据圆对称性模型检测出的多个模糊半径还原出多个图像,并筛选出最清晰图像作为还原结果。实验结果显示,与传统的还原方法相比,该方法提高了还原图像的清晰度和分辨率。  相似文献   

17.
Space-variantly blurred images of a scene contain valuable depth information. In this paper, our objective is to recover the 3-D structure of a scene from motion blur/optical defocus. In the proposed approach, the difference of blur between two observations is used as a cue for recovering depth, within a recursive state estimation framework. For motion blur, we use an unblurred-blurred image pair. Since the relationship between the observation and the scale factor of the point spread function associated with the depth at a point is nonlinear, we propose and develop a formulation of unscented Kalman filter for depth estimation. There are no restrictions on the shape of the blur kernel. Furthermore, within the same formulation, we address a special and challenging scenario of depth from defocus with translational jitter. The effectiveness of our approach is evaluated on synthetic as well as real data, and its performance is also compared with contemporary techniques.  相似文献   

18.
周鹏  胡成海  毕超  郝雪 《红外与激光工程》2021,50(12):20210141-1-20210141-8
为了减少三轴视觉测量系统在对焦过程中的时间消耗和提高对焦的准确性,提出基于光学离焦模型的自动对焦算法。自动对焦算法评价函数采用Tenengrad梯度函数,搜索算法分两步:(1)将光学离焦模型分解成两个曲线函数,通过采集4张图像的清晰度值和x轴坐标求出两条曲线函数,最终得到两条曲线的交点位置,交点位置即为正焦位置粗定位位置;(2)在交点位置采集1张图像以及在交点左右两侧各采集2张图像,通过高斯函数拟合得到拟合高斯函数的均值,均值即为准确的正焦位置。为了验证本方法的有效性,首先进行10次重复性试验,验证算法粗定位的重复定位误差4.1 μm。其次,在粗定位位置采集1张图像及其两边各采集2张图像,通过高斯拟合得到精确正焦位置,10次精确位置的重复定位误差为5.1 μm。该算法只需采集9张图像,得到的合成标准不确定度为2.12 μm。该方法提高了三轴视觉测量系统的对焦效率和精度。  相似文献   

19.
Investigation on LIGA-like process based on multilevel imprint lithography   总被引:1,自引:0,他引:1  
A low-cost quasi-LIGA process is proposed, in which, instead of using thick resist technique, micro-structure with large structural height is achieved by multilevel imprinting and through-mask plating. To achieve precise alignment between individual layers, an alignment system based on computer micro-vision is developed and the experimental results show an average overlay accuracy within 1.5 μm with a standard deviation within 0.33 μm. Good adherence of resist on seed layer is achieved by substrate surface oxidation and using a coupling agent, which establishes a chemical bond between substrate surface and the resist layer. The deposit uniformity is improved by electroplating process optimization to enhance the cathode polarization. Through electrolyze etching on previous layer before next level of metal deposition, the fresh metal surface is obtained and the bonding strength between adjacent metal layers is enhanced. With the developed process, tri-layer photoresist and metallic structures with a pattern feature size of 20 μm were successfully fabricated.  相似文献   

20.
We developed a series of algorithms, based on the string model, that simulate the inhomogeneous etching process of polymeric materials, which exhibit swelling during dissolution by an organic solvent, used in IC process fabrication. The swelling creates a gel layer between the solid (polymer) and the liquid (solvent) phases. Usually, simulations based on the string development model assume an abrupt solid-liquid interface. Our algorithms are capable of simulating two interrelated etch fronts, and may easily be extended to simulate n interrelated etch fronts. The speed with which the points of the gel-solid boundary advance depends on the distance of each point from the gel-liquid boundary. Considering the two boundaries as plane curves, one must deal with the problem of what we define as the “distance” of every point of the first curve from the second. The algorithm developed can deal with any pair of non-intersecting curves in two dimensions. Two pairs of curves were used to test the algorithm: the first with curves of a simple form, and the second with curves of a complicated form. Next we simulated the development process of an e-beam resist that exhibits swelling. The results were perfect for the minimum distance subalgorithm, and they predicted a longer development time for the e-beam resist compared with that required for a non-swelling resist.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号