首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Cu/Ta/Si (100) structures deposited by the non-mass separated ion beam deposition system showed a slight resistivity increase at 650 °C due to a Cu agglomeration. To suppress the Cu agglomeration on the Ta layer, a capping layer was deposited on the Cu/Ta/Si structure using Ta or SiO2 as a suppressor. In the case of the Ta suppressor, the agglomeration of Cu was observed between two distorted Ta films due to the difference in thermal expansion between the Cu film and the Ta film at high temperature. On the other hand, the SiO2 layer was found to be suitable as a suppressor, and the Cu agglomeration did not occur even after annealing at 650 °C by the suppression of the Cu diffusion.  相似文献   

2.
Silicon nitride (SiN x ) thin film layers were deposited on Cu/Ta/SiO2/Si multilayer structures by Plasma Enhanced Chemical Vapor Deposition at the temperature 285°C. The influence of post deposition thermal annealing treatments on the micro-structural, compositional and thermal stability study of SiN x /Cu/Ta/SiO2/Si multilayer structure was studied and compared with unpassivated, Cu/Ta/SiO2/Si multilayer structure. It was found that after SiN x passivation, the formation of Cu2O and Ta2O5 was significantly reduced and the structure becomes more stable than unpassivated one. The reaction between Cu, Ta and O was not found in this SiN x /Cu/Ta/SiO2/Si multiplayer structure but the out diffusion of Ta to the Cu surface was unable to be suppressed. The Ta barrier was observed to fail at temperatures above 750°C due to the formation of Ta x N y , at the interface of SiN x /Cu.  相似文献   

3.
This study suggests a Ru/ZnO bilayer grown using area-selective atomic layer deposition (AS-ALD) as a multifunctional layer for advanced Cu metallization. As a diffusion barrier and glue layer, ZnO is selectively grown on SiO2, excluding Cu, where Ru, as a liner and seed layer, is grown on both surfaces. Dodecanethiol (DDT) is used as an inhibitor for the AS-ALD of ZnO using diethylzinc and H2O at 120 °C. H2 plasma treatment removes the DDT adsorbed on Cu, forming inhibitor-free surfaces. The ALD-Ru film is then successfully deposited at 220 °C using tricarbonyl(trimethylenemethane)ruthenium and O2. The Cu/bilayer/Si structural and electrical properties are investigated to determine the diffusion barrier performance of the bilayer film. Copper silicide is not formed without the conductivity degradation of the Cu/bilayer/Si structure, even after annealing at 700 °C. The effect of ZnO on the Ru/SiO2 structure interfacial adhesion energy is investigated using a double-cantilever-beam test and is found to increase with ZnO between Ru and SiO2. Consequently, the Ru/ZnO bilayer can be a multifunctional layer for advanced Cu interconnects. Additionally, the formation of a bottomless barrier by eliminating ZnO on the via bottom, or Cu, is expected to decrease the via resistance for the ever-shrinking Cu lines.  相似文献   

4.
This work investigated the diffusion barrier properties of ionized metal plasma (IMP) deposited Ta between Cu and SiO2. When Cu and Ta layers were formed by IMP sputtering, it was found that the Cu has the equilibrium f.c.c. structure with the grain size of 80 nm whereas Ta is in a metalstable tetragonal (-Ta) form with a grain size of around 10 nm. With the help of sheet resistance measurement, X-ray diffraction, cross-section transmission electron microscope analysis, Rutherford backscattering spectroscopy, secondary ion mass spectroscopy, and scanning electron microscopy, the Ta barrier layer was observed to fail at temperature above 650°C due to the reactions among Cu, Ta and O and formation of Cu x Ta y O z . The phase transformation of -Ta into the stable phase (-Ta), in the presence of Cu was encountered when annealing the sample at above 800°C. The role of oxygen was also found to be important in the phase transformation, in the reactions and it seems to have a strong effect on the thermal stability of the barrier layer.  相似文献   

5.
彭晓文  陈冷 《材料导报》2018,32(22):3931-3935
用直流磁控溅射法在Si/SiO2基底上制备了Co/Cu/Co薄膜和加入缓冲层的Ta/Co/Cu/Co薄膜,用扫描电子显微镜、原子力显微镜、X射线衍射和俄歇电子能谱研究了薄膜的微观结构、表面形貌、织构和界面互扩散现象。结果表明:退火后薄膜中均存在{111}和{002}衍射峰,加入缓冲层Ta后,Co/Cu/Co薄膜的衍射峰强度明显增强,并存在较强的{111}纤维织构,薄膜表面孔洞及粗糙度大幅减小。退火后薄膜界面处产生互扩散现象,层状结构被破坏。缓冲层Ta提高了薄膜与基底材料间的润湿性,可有效缓解界面互扩散现象。  相似文献   

6.
In this investigation, we have fabricated Ta(Vb)/Si(111) and Cu/Ta(Vb)/Si(111) systems using a DC bias sputtering technique at high Ar pressure (100 mTorr). For Ta/Si(111) system, tantalum layer was formed under various bias voltages ranging from 0 to −150 V. The films were characterized by Rutherford backscattering spectrometry (RBS), scanning electron microscopy (SEM) and four-point probe sheet resistance measurements (Rs). From electrical resistivity and SEM data, a minimum resistivity (99 μΩ cm) and well surface morphology at an optimum bias voltage (Vb=−50 V) was obtained for the Ta(Vb)/Si(111) system. The Ta films deposited under these conditions with 50 nm thickness are then used as a diffusion barrier in the Cu/Ta(Vb)/Si(111) multilayer structure. According to our RBS, SEM and Rs analysis, the Ta barrier layer formed under the controlled bias sputtering at high Ar pressure has demonstrated an improved Ta structure with excellent thermal stability up to 650°C for the Cu/Ta(Vb)/Si(111) system annealed in N2 environment for 30 min. Formation of TaSi2 was observed at 700°C after the barrier failure using RBS spectra.  相似文献   

7.
Flow modulation chemical vapor deposition (FMCVD) with titanium tetrachloride (TiCl4) and ammonia (NH3) is effective for depositing titanium nitride (TiN) films with conformal morphology, good step coverage, low electrical resistivity, and low chlorine residual contamination. It means that FMCVD TiN film is a good candidate of diffusion barriers for copper interconnection technology in ULSI. But the diffusion barrier property of FMCVD TiN film against Cu diffusion has not been confirmed. So, firstly, we deposited Cu (100 nm)/FMCVD TiN (25 nm)/Si multilayer films and investigated the thermal stability of Cu/TiN/Si structure. Vacuum annealing was done at 400, 500, 550 and 600 °C. For films annealed for 30 min at 400 °C, Cu diffused through the TiN layer and formed copper silicides on the surface of Si substrates. Therefore, FMCVD films formed under such conditions are unsatisfactory diffusion barriers. To enhance the diffusion barrier property of FMCVD TiN films, we used sequential deposition to introduce a monolayer of Al atoms between two TiN films. Etch-pit tests showed that for TiN films with Al interlayer, Cu diffusion through the barrier occurred at 500 °C and that is 100 °C higher than TiN film without Al interlayer. Al atoms formed AlOx with oxygen atoms present in the TiN films as impurities, and fill up the grain boundaries of TiN film, thereby blocking the diffusion of Cu atoms.  相似文献   

8.
Amorphous TaN x thin films (14 and 62 nm) were deposited by reactive sputtering on Si substrates. Crystallization and the metallurgical failure mechanism for Si/TaN x /Cu metallization stacks were investigated by resistivity measurements, X-ray diffraction analysis, detailed electron microscopy and elemental depth profiling on samples annealed in 5 %H2/95 %N2 gas for 30 min at various temperatures ranging from 300 to 900 °C. Amorphous TaN x thin films crystallized at 600 °C to hexagonal Ta2N by a polymorphous transformation. Depending on film thickness, polycrystalline Ta2N diffusion barriers were effective up to 700–800 °C. Failure occurred by diffusion of Cu to the Si/TaN x interface to form Cu3Si particles followed by outdiffusion of Si and formation of Cu3Si and TaSi2 precipitates on the outer surface. The TaN x barriers were integrated in metal–oxide–semiconductor devices (Cu/10 nm TaN x /26 nm SiO2/Si) to evaluate their electrical failure after bias-temperature-stress (BTS) testing using capacitance–voltage and current–voltage measurements. The shift in flat-band voltage and the leakage current were monitored before and after BTS. The electrical test results were compared with compositional and morphological information obtained from elemental depth profiling and electron microscopy. No evidence of Cu diffusion to SiO2 was found for capacitors with large leakage currents.  相似文献   

9.
The efficiency of Ta and Nb films as diffusion barriers between thin Cu film and Si substrate has been studied using Auger electron spectroscopy, X-ray diffraction, optical microscopy, scanning electron microscopy and sheet resistance measurements. Two kinds of system were prepared by electron-beam evaporation: Cu/Ta (or Nb)/Si and Cu/Ta (or Mb) SiO2/Si. The samples were annealed at temperatures from 400 to 800C in a vacuum of 1 × 10–7 torr (13 Pa) for 30 min. In the Cu/Ta (or Nb)/Si system, the thermal stability was determined by interdiffusion at local sites, forming suicides, whereas the Cu/Ta (or Nb)/SiO2/Si system degraded by interdiffusion at the interface between Ta (or Nb) and Cu. It appears that Ta is a more effective diffusion barrier than Nb for both kinds of system. This difference in the barrier effect of the transition metals is attributed to differences between oxygen segregation at grain boundaries of barrier layers and differences between diffusion coefficients through barrier layers. It is suggested that the driving force for interdiffusion may play a major role in the reaction that determines the thermal stability of a given contact system; this suggestion is based on the fact that the interdiffusion in Cu/barrier/Si systems is suppressed by interposing an SiO2 layer in the Si substrate.  相似文献   

10.
Electroless Ni-B was plated on SiO2 as a barrier layer against Cu diffusion for through-Si via (TSV) interconnections in a 3-dimensional multi-chip package. The electroless Ni-B was deposited on the entire area of the SiO2 side wall of a deep via with vapor phase pre-deposition of 3-aminopropyl-triethoxysilane on the SiO2. The carrier lifetimes in the Si substrates plated with Ni-B/Cu did not decrease with an increase in annealing temperature up to 400 °C. The absence of degradation of carrier lifetimes indicates that Cu atoms did not diffuse into the Si through the Ni-B. The advantages of electroless Ni-B (good conformal deposition and forming an effective diffusion barrier against Cu) make it useful as a barrier layer for TSV interconnections in a 3-dimensional multi-chip package.  相似文献   

11.
《Thin solid films》1998,320(1):141-146
Thermal stability and barrier performance of reactively sputter deposited Ta–Si–N thin films between Si and Cu were investigated. RF powers of Ta and Si targets were fixed and various N2/Ar flow ratios were adopted to change the amount of nitrogen in Ta–Si–N thin films. The structure of the films are amorphous and the resistivity increases with nitrogen content. After annealing of Si/Ta–Si–N(300 Å)/Cu(1000 Å) structures in Ar–H2 (10%) ambient, sheet resistance measurement, X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS) and Auger electron spectroscopy (AES) were employed to characterize barrier performance. Cu3Si and tantalum silicide phase are formed at the same temperature, and the interdiffusion of Si and Cu occurs through the local defect sites. In all characterization techniques, nitrogen in the film appears to play an important role in thermal stability and resistance against Cu diffusion. A 300 Å thick Ta43Si4N53 barrier shows the excellent barrier property to suppress the formation of Cu3Si phase up to 800°C.  相似文献   

12.
Transparent conductive ITO/Cu/ITO films were deposited on polyethylene terephthalate (PET) substrates with a SiO2 buffer layer by magnetron sputtering using three cathodes at room temperature. The effect of the SiO2 buffer layer thickness on the electrical and optical properties of ITO/Cu/ITO films was investigated. The ITO/Cu/ITO film deposited on the 40 nm thick SiO2 buffer layer exhibits a sheet resistance of 143Ω/sq and transmittance of 65% at 550 nm wavelength. Highly transparent ITO/Cu/ITO films with a transmittance of 80% and a sheet resistance of 98.7Ω/sq have been obtained by applying −60 V substrate bias.  相似文献   

13.
The effect of substrate temperature on the thermal stability of Cu/Zr-N/Si contact systems was investigated. Zr-N films were deposited on the Si substrates by RF reactive magnetron sputtering under various substrate temperatures. Cu films were in-situ sputtered onto the Zr-N films subsequently. The contact systems were characterized using four-point probe sheet resistance measurements (Rs), X-ray diffraction (XRD), and scanning electron microscopy (SEM) respectively. It was found that the sheet resistances of Cu/Zr-N (350 °C)/Si contact system were lower than those of Cu/Zr-N (150 °C)/Si specimens after annealing at 650 °C. Cu/Zr-N (350 °C)/Si contact systems showed better thermal stability so that the Cu3Si phase could not be detected. It is indicated from the comparison analysis results that the Zr-N film showed better diffusion barrier performance deposited under higher substrate temperature.  相似文献   

14.
《Vacuum》2012,86(4):443-447
Transparent conductive ITO/Cu/ITO films were deposited on polyethylene terephthalate (PET) substrates with a SiO2 buffer layer by magnetron sputtering using three cathodes at room temperature. The effect of the SiO2 buffer layer thickness on the electrical and optical properties of ITO/Cu/ITO films was investigated. The ITO/Cu/ITO film deposited on the 40 nm thick SiO2 buffer layer exhibits a sheet resistance of 143Ω/sq and transmittance of 65% at 550 nm wavelength. Highly transparent ITO/Cu/ITO films with a transmittance of 80% and a sheet resistance of 98.7Ω/sq have been obtained by applying −60 V substrate bias.  相似文献   

15.
PbO thin films were deposited on a silicon substrate by plasma-enhanced chemical vapour deposition (PECVD) using Pb(C2H5)4 and oxygen at 250°C. The interdiffusion reaction phenomena between the PbO thin film and the silicon substrate during heat treatments were investigated in a horizontal furnace in the temperature range between 350 and 650°C under a nitrogen ambient for 1 h. The PECVD PbO film deposited on the silicon substrate at 250°C, was amorphous and contained carbon-related contaminants which could almost be removed by heat treatment at 350°C. The PbO on the silicon substrate initially participated in the interdiffusion reaction in the temperature range between 400 and 450°C. This produced a silicate layer containing lead components. The lead content in the film varied with the depth of film and heat-treatment temperature. Metallic lead was observed as a cluster in the specimen heated at 550°C. This cluster was produced by the agglomeration of metallic lead originating from PbO decomposition. The oxygen source for silicate formation was not ambient oxygen coming from the decomposition of Pb–O bonding. The metallic lead clusters dissolved as weakly bound metallic lead or as an unbound nanosized metal particle in the silicate layer at 650°C. This revised version was published online in November 2006 with corrections to the Cover Date.  相似文献   

16.
Electroplated Cu film on a thin seed layer of IMP deposited Cu has been investigated in the EPCu (1 m)/IMPCu (150 nm)/TaN (25 nm)/SiO2(500 nm)/Si multi-layer structure. The characteristics of Electroplated-Cu films before and after annealing were investigated by means of sheet resistance, X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), and Rutherford Backscattering Spectroscopy (RBS). Annealing at temperatures of higher than 750°C resulted in slightly higher sheet resistance, larger grain sizes and rougher surface. SEM micrograph showed that the agglomeration of EP-Cu film occurred only at annealing temperatures higher than 850°C. During annealing, the EP-Cu grain grew normally and their sizes increased to about five times larger than the thickness of the EP-Cu film but the (111) preferred orientation was maintained up to 950°C. Furthermore, the interfacial reactions between Cu layer and IMP-TaN diffusion barrier were also detected at annealing temperatures of higher than 750°C.  相似文献   

17.
When pressureless sintered silicon nitride with the main additives Y2O3 and Al2O3, having a thermal conductivity K = 20 W/m K, was oxidized at 1240–1360 °C in still air, the resulting surface oxide layer easily bonded to a copper plate in the temperature region between 1065 and 1083 °C, and in the oxygen concentration range of 0.008–0.39 wt%, as shown in a Cu–O phase diagram. The oxide on the silicon nitride was characterized as Y2O3·2SiO2 and mixed silicate glass with additives and impurities that diffused through the grain boundary. The bonding strength of Cu/Si3N4 depends on the amount or layer thickness of silicate glass and reaches as high as 100 MPa by shear at room temperature. Detailed analysis of the oxidation layer and the peeled-off surfaces of directly bonded Si3N4/Cu reveal that the main mechanism of bonding is wetting to glassy silicate phase by mixtures of molten Cu and α-solid solution Cu(O), which solidify to α + Cu2O below 1065 °C by a eutectic reaction. The direct reactive wetting of molten Cu, supplied from the grain boundary of a Cu plate, on the glassy phase enables very tight chemical bonding via oxygen atoms.  相似文献   

18.
The copper diffusion barrier properties of an ultrathin self-forming AlOx layer on a porous low-k film have been investigated. Cu-3 at.% Al alloy films were directly deposited onto porous low-k films by co-sputtering, followed by annealing at various temperatures. Transmission electron microscopy micrographs showed that a ∼ 5 nm layer self-formed at the interface after annealing. X-ray photoelectron spectroscopy analysis showed that this self-formed layer was Al2O3. Sharp declines of the Cu and Si concentrations at the interface indicated a lack of interdiffusion between Cu and the porous low-k film for annealing up to 600 °C for 30 min. The leakage currents from Cu(Al)/porous low-k/Si structures were similar to as-deposited films even after a 700 °C, 5 min anneal while a Cu sample without Al doping failed at lower temperatures. Adding small amounts of Al to bulk Cu is an effective way to self-form copper diffusion layer for advanced copper interconnects.  相似文献   

19.
In this letter, bismuth magnesium niobate (Bi1.5MgNb1.5O7, BMN) thin films were deposited on Pt/Ti/SiO2/Si substrates by using radio-frequency magnetron sputtering at various substrate temperatures. Based on the phase compositions and microstructures of these samples, we discussed the nucleation and growth of the BMN thin films and how the substrate temperature influenced these processes. The thin film begins to crystallize at 450 °C, and the annealed films were all composed of the cubic pyrochlore phase with a strong (222)-preferred orientation. The film deposited at 450 °C exhibited a large dielectric constant of 173, and a tunability of 26.6 % was obtained at a max dc bias field of 0.8 MV/cm.  相似文献   

20.
《Materials Letters》2007,61(4-5):1052-1055
High-quality single-phase, c-axis textured LiTaO3 thin films have been deposited on Si(100) substrate with amorphous SiO2 buffer layer for optic waveguide application by pulsed laser deposition under optimized conditions of 30 Pa oxygen pressure and 650 °C. The amorphous SiO2 buffer layer with a thickness of 100 nm was coated on the Si(100) by thermal oxidation at 1000 °C. Li-enriched LiTaO3 ceramic target was used during the deposition. In order to study the influence of oxygen pressure on the orientation, crystallinity and morphology, different oxygen pressures (10 Pa, 20 Pa, 30 Pa and 40 Pa) were used. X-ray diffraction (XRD) results showed that LiTaO3 thin films exhibited highly c-axis orientation under 30 Pa. It was observed by scanning electron microscopy (SEM) that the as-grown film in the optimal conditions was characterized by a dense and homogeneous surface without cracks, and the average grain size was in the order of 25 nm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号