首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 12 毫秒
1.
Two types of polyethyleneterephthalate (PET) were investigated, one nearly amorphous and the other highly crystallized. DSC analysis and mercury-in-glass dilatometry were used to determine the effect of crystalline phase content on the thermal behavior of amorphous phase. Increasing portion of crystals caused an increase in glass transition temperature (T g) and broadening of the transition zone. Thermal expansion coefficient and specific heat decreased. The amount of rigid amorphous fraction, RAF, was calculated to be around 21–26%. Volume relaxation measurements initiated by temperature down-jump from the equilibrium above T g to several temperatures in the vicinity of T g showed considerably reduced relaxation rate for semicrystalline PET.  相似文献   

2.
The introduction of a 1000 Å scale corrugated structure, aligned perpendicular to the filament axis, into oriented semi-crystalline polymers on plasma etching is shown to result from restructuring of the partially etched surface and not, as has previously been proposed, to correspond to morphological detail in the unetched material. The detail exposed on plasma etching polypropylene is shown to vary extensively with the etching conditions used. The exposed surface is compared with those resulting from peeling and chromic acid etching. Plasma etching is, however, shown to be useful in exposing coarse morphological detail such as spherulites, and in providing a convenient route for rapidly stripping the polymeric sample. The restructured partially etched surface may then be removed easily by, for example, a brief chromic acid treatment, exposing the sample interior to microscopic examination.Issued as NRCC no. 16534  相似文献   

3.
《Thin solid films》1986,136(1):123-127
The process of CF4 plasma etching of amorphous GeSx films (1.0 ⩽x⩽ 4.0) was investigated. A negative effect of photostimulated selective etching was established, the GeS1.5 composition showing optimum parameters. Some possibilities for improving selective etching using ion implantation were found.  相似文献   

4.
5.
The interfacial properties between pitch-based carbon fibre and semicrystalline thermoplastic matrices have been investigated by using the fragmentation test on single-fibre composites. For this purpose, fibres with seven different degrees of surface oxidation were prepared. From the fragmentation test, it was found that oxidization of carbon fibre reduces the fibre fragment length. Further, the length is also influenced by the nature of resin used as matrix. The morphology of crystallites formed on the fibres has been studied. Based on these results, the interfacial properties of carbon fibre and thermoplastic resins are discussed.  相似文献   

6.
As the demand for hip and knee replacements continues to grow, researchers look to increase the operational lifetimes of these implants. Many implant failures are attributed to aseptic loosening caused from the repeated loading of these joints. It is believed that by improving the interface between the implant and natural tissue, implant life could be extended. This study evaluates the effects of argon ion etching on Ti6Al4V titanium alloy and the resulting implications this etching has on living cells. Three ion energies (300, 700, and 1100 eV) were used to etch the as-received titanium substrates. Topographical changes were examined by scanning electron microscopy. Etching at 700 and 1100 eV resulted in the formation of a hierarchical structure of micro/nano-texturization of micron-sized depressions with nano-structured ripples. A rat mesenchymal stem cell population was differentiated to an osteoblastic phenotype to test the biocompatibility of the surfaces. It was found that ion etching the titanium results in an improvement of early cellular activity and may enhance osteoblast performance.  相似文献   

7.
8.
9.
Characteristics of amorphous silicon (a-Si) etching using atmospheric pressure plasma discharge had been studied. Dielectric barrier discharge (DBD) plasma with nitrogen gas was employed for the study. The active chemical agent for etching was generated by mixing a small quantity of sulfur hexafluoride (SF6) gas into the plasma. The two distinguishable plasma zones are generated with the specially designed DBD plasma generator. The one is the main discharge zone generated between the two parallel plate electrodes. And the other one is downstream plasma zone extracted from the main discharge zone through the holes perforated on the bottom electrode. A test specimen was etched located at the plasma zone and moved the zone several times for etching on a temperature controlled stage. The etch rate of a-Si and the selectivity to silicon nitride (SiNx) were improved by addition of hydrogen (H2) or methane (CH4) gas into the plasma. However, when the specimen temperature was lower than 100 °C with H2 or CH4 gas added plasma condition, a-Si layer was not etched at all, but in the range of 100-140 °C of specimen temperature, the a-Si layer started to be etched while the influence of the specimen temperature on etching of a-Si was ignorable in that temperature range. At the optimized condition, the a-Si etch rate was up to 3000 A/min in the downstream plasma zone with the 3 mm of the distance between the surface of the specimen and the bottom side of the DBD plasma generator module. And the etch rate ratio between a-Si and SiNx was more than 100:1.  相似文献   

10.
In this article the modification of surface morphology of ZnO:Ga (GZO) thin films by ion sputter etching is presented. GZO thin films were prepared at room temperature on Corning glass substrates by both normal and oblique angle RF diode sputtering from ZnO:2%Ga ceramic target in Ar gas. Ion sputter etching was performed by RF re-sputtering of GZO thin films on substrates. During RF sputter etching, Ar pressure of 1.3 Pa and RF power of 250 W were kept constant, only the time of sputter etching was changed. Ion sputter etching had remarkable influence on surface morphology of GZO thin films: increase of roughness Rq and the “homogenization” of film surfaces, i.e. skewness (Rsk) and spikiness (Rku) parameters (Rsk ≈ 0/Rku ≈ 3).Surface root-mean-square roughness (Rq) increased from 15.3 nm (after sputter deposition) to 29.1 nm (after ion sputter etching). For obliquely thin films increased from 16.5 nm (after sputter deposition) to 38.2 nm. Changes of these parameters Rq, Rsk, Rku influenced optical properties of GZO films, increased Haze parameter up to values 7.7% and width of optical band gap 3.44 eV, respectively.  相似文献   

11.
Inductively coupled plasma reactive ion etching of CoZrNb magnetic thin films was studied using a TiN hard mask in a Cl2/O2/Ar gas mix. The etch rates of CoZrNb films and TiN hard mask gradually decreased with increasing Cl2 or O2 gas concentrations. When O2 gas was added in the Cl2/Ar gas mix, the etch rate of TiN hard mask was suppressed effectively so that the etch selectivity of CoZrNb film to TiN hard mask was enhanced. The addition of O2 into the gas mix also led to the anisotropic etching of the CoZrNb films and it was confirmed by Auger electron spectroscopy that there were no redeposited materials on the sidewall of the etched films. Highly anisotropic etching of CoZrNb films was achieved at room temperature under the optimized etching conditions.  相似文献   

12.
Conventionally, the tunneling magneto resistive (TMR) devices for both hard drive and magnetic random access memory (MRAM) are defined via photolithography and subsequent ion mill processes. Due to non-volatility of ion milling byproducts, re-deposition of device materials across the tunneling barrier will increase the critical dimension (CD) and reduce the pattern transfer fidelity; moreover, it causes electrical shunting and TMR ratio drop. Therefore, either relatively large angle primary or two-step mill with a subsequent large angle side mill is required to clean-up such re-deposition across the barrier. Such primary milling angle and side milling time at a fixed primary mill angle have been determined experimentally to be ∼20-30° and above 30 s, respectively, in this study. However, it was found that extended side milling can cause substantial damage for sub-∼30 nm. We also investigated the plasma-based etching of such TMR devices using various chemistries and presented optical emission spectrum of such chemistries. The plasma etched TMR device profile and the possible interaction between the chemistry with the MgO barrier was also discussed.  相似文献   

13.
14.
常规的通过干法刻蚀制作纳米梁的方法会不可避免地在梁上引入晶格损伤层。本文提出一种制造无晶格损伤层纳米梁的新工艺方法。在常规光刻后,辅助利用FIB(聚焦离子束)刻蚀修改硅梁中部上方的SiO2掩模。根据单晶硅的材料和工艺特点,通过KOH各向异性腐蚀,硅梁两侧壁与硅片表面垂直,并自停止为(111)面。自停止面自校正地沿112晶向自硅梁中部向两端扩展,直至硅梁成型。经过冷冻干燥,最终在(110)SOI硅片上制得了宽度为112nm的单晶硅纳米梁。自校正的腐蚀方式提升了工艺稳定性,并且由于结合利用了湿法腐蚀和FIB技术,此工艺方法具有无晶格损伤层、工艺重复性好、加工精度高等优点。  相似文献   

15.
在CF4/Ar的感应耦合等离子体中,用"法拉第筒"式的方法研究了SiO2刻蚀速率与不同离子入射角度之间的关系.在所施加的-20~300V射频偏压范围内,SiO2基片的归一化刻蚀速率(NER)呈现两种情况,当偏压值<100V时,归一化刻蚀速率的大小与基片倾斜角度θ符合余弦曲线规律;当偏压值>100V时,θ在15°~60°范围内,归一化刻蚀速率的大小在大于相应的余弦值,θ>60°时归一化刻蚀速率快速下降,在90°附近SiO2表面出现聚合物沉积.θ<60°时,SiO2的表面刻蚀主要决定于入射离子与基片表面间的能量转换,转换能量的大小深刻地影响着SiO2的刻蚀速率,同时也影响形成于基片表面的碳氟聚合物的去除速率.  相似文献   

16.
In this study, a high aspect ratio contact pattern, beyond 70 nm technology, in a very-large-scale integrated circuit, was achieved using hydrogenated amorphous carbon (a-C:H) film as the dry etching hard mask. The effect of temperature on the a-C:H deposits prepared by plasma enhanced chemical vapor deposition was studied. The a-C:H films resulting from propylene (C3H6) decomposition exhibited high transparency incorporated rich hydrogen concentration with a decreasing deposition temperature. A matrix of dispersed cross-linked sp3 clusters in a-C:H films, which has an increasing optical band gap and higher hydrogen content, is attributed to reduce the defect density of status and obtain high transmittance rate. Moreover, the higher transparency of a-C:H films could afford lithographic aligned capability as well as compressive stress and dry etching resistance. These explorations provided insights into the role of hydrogen in a-C film and also into the practicality of its future nano-scale device applications.  相似文献   

17.
Ion etching of surfaces combined with detection of secondary events (particles or radiation emitted) are used for depth profiling of samples with interesting features at-, near-, or somewhat below the surface. These methods are destructive and relatively slow, and compete with non-destructive methods like Rutherford backscattering spectroscopy, energy-dispersive X-ray spectroscopy in the scanning electron microscope or angle-resolved photoemission spectroscopy, which are non-destructive and relatively faster methods. In this work we have concentrated on the use of noble gas ion sputtering with low-energy beams in combination with electron excited Auger electron spectroscopy and imaging for analysis of nanostructured and microstructured samples. No attempt will be made here to justify this method over the other methods, as their relative merits depend on the nature of the sample and the problem at hand. We have thus chosen to study samples and problems for which this technique would be obvious to use. This work is also aimed at providing practical standards and guidelines (“metrology”) for the use of the technique in the context of industrial nanotechnology. The use of Auger electron spectroscopy instead of photoemission spectroscopy is preferred for laterally non-uniform samples due to the presently better resolution capabilities of electron beams and narrower information depths of typical Auger electron transitions. The use of Auger electrons for concentration sampling, and low-energy beams of noble gas ions for sputtering, reduces the adverse influence of atomic mixing. In this report two systems are intensively studied with sputter profiling in combination with Auger electron spectroscopy and scanning electron imaging: a hard disk and a surface of a stainless-steel sample.  相似文献   

18.
19.
Tin dioxide is emerging as an important material for use in copper indium gallium diselenide based solar cells. Amorphous tin dioxide may be used as a glass overlayer for covering the entire device and protecting it against water permeation. Tin dioxide is also a viable semiconductor candidate to replace the wide band gap zinc oxide window layer to improve the long-term device reliability. The film properties required by these two applications are different. Amorphous films have superior water permeation resistance while polycrystalline films generally have better charge carrier transport properties. Thus, it is important to understand how to tune the structure of tin dioxide films between amorphous and polycrystalline. Using X-ray diffraction (XRD) and Hall-effect measurements, we have studied the structure and electrical properties of tin dioxide films deposited by magnetron sputtering as a function of deposition temperature, sputtering power, feed gas composition and film thickness. Films deposited at room temperature are semicrystalline with nanometer size SnO2 crystals embedded in an amorphous matrix. Film crystallinity increases with deposition temperature. When the films are crystalline, the X-ray diffraction intensity pattern is different than that of the powder diffraction pattern indicating that the films are textured with (101) and (211) directions oriented parallel to the surface normal. This texturing is observed on a variety of substrates including soda-lime glass (SLG), Mo-coated soda-lime glass and (100) silicon. Addition of oxygen to the sputtering gas, argon, increases the crystallinity and changes the orientation of the tin dioxide grains: (110) XRD intensity increases relative to the (101) and (211) diffraction peaks and this effect is observed both on Mo-coated SLG and (100) silicon wafers. Films with resistivities ranging between 8 mΩ cm and 800 mΩ cm could be deposited. The films are n-type with carrier concentrations in the 3 × 1018 cm− 3 to 3 × 1020 cm− 3 range. Carrier concentration decreases when the oxygen concentration in the feed gas is above 5%. Electron mobilities range from 1 to 7 cm2/V s and increase with increasing film thickness, oxygen addition to the feed gas and film crystallinity. Electron mobilities in the 1-3 cm2/V s range can be obtained even in semicrystalline films. Initial deposition rates range from 4 nm/min at low sputtering power to 11 nm/min at higher powers. However, deposition rate decreases with deposition time by as much as 30%.  相似文献   

20.
Semicrystalline silicon layers 50–100 μm thick were vacuum deposited onto stainless steel and Pyrex glass substrates using an electron beam evaporation process. Because of the ultrahigh deposition rate (20–50 μm min-1) the silicon layers had a columnar structure on substrates that had been previously coated with aluminium.The layers on stainless steel contained both grain boundaries and twin planes whereas the layers on Pyrex glass showed only twin planes. This difference in structure can be explained by considering the crystallinity of the substrates and the difference in surface temperatures during growth due to the different thermal properties of stainless steel and Pyrex glass.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号