首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
M. Bedjaoui  B. Despax 《Thin solid films》2010,518(15):4142-4149
Films prepared by radiofrequency pulsed plasma enhanced chemical vapor deposition from a mixture of silane (SiH4) and nitrous oxide (N2O) were studied. Variation of operating conditions (flow rate, deposition temperature ...) resulted in films with chemical compositions changing from hydrogenated silicon oxynitride (SiOxNy:H) to silicon oxide (SiOx:H). Infrared and Rutherford backscattering spectroscopy studies of the as-deposited films revealed different SiOx arrangements disturbed by Si-N bonds and H-Si ≡ Si(3 − x)Ox clusters depending on the substrate temperature and the N2O/SiH4 ratio. For films obtained using low N2O/SiH4 rations and annealed at temperature higher than 1273 K, Raman spectroscopy and microscopy analyses revealed the presence of silicon nanocrystals embedded in a matrix containing Si, O, and N. Spectroscopic ellipsometry revealed the presence of silicon nanocrystals along with two other amorphous phases (SiOxNy and SiO2) in annealed samples. The electrical characteristics of annealed films obtained from capacitance-voltage measurements indicated a stable charge trapping in ultra-thin SiOxNy layers. These preliminary results suggest that Si-nc containing silicon oxynitride layers can be potential candidates to be used in the floating gate fabrication of memory devices.  相似文献   

2.
Nanostructured materials fabricated by dispersing metal particles on the dielectric surface have potential application in the field of nanotechnology. Interfacial metal particles/dielectric matrix interaction is important in manipulating the structural and optical properties of metal/dielectric films. In this work, a thin layer of gold (Au) was sputtered onto the surface of silicon oxide, SiOx (0.38 < x < 0.68) films which was deposited at different N2O/SiH4 flow rate ratios of 5 to 40 using plasma enhanced chemical vapor deposition (PECVD) technique prior to the annealing process at 800 °C. FTIR spectra demonstrate the intensity and full-width at half-maximum (FWHM) of Si-O-Si stretching peaks are significantly dependent on the N2O/SiH4 flow-rate ratio, η. The films deposited at low and high N2O/SiH4 flow rate ratios are dominated by the oxygen and silicon contents respectively. The size and concentration of Au particles distributed on the surface of SiOx films are dependent on the N2O/SiH4 flow-rate ratio. High concentrations of Au nanoparticles are distributed evenly on the surface of the film deposited at N2O/SiH4 flow-rate ratio of 30. Crystallinity and crystallite sizes of Au are enhanced after the thermal annealing process. Appearance of surface plasma resonance (SPR) absorption peaks at 524 nm for all samples are observed as a result of the formation of Au particles. The annealing process has improved SPR peaks for all the as-deposited films. The energy gap of the as-deposited Au/SiOx films are in the range of 3.58 to 4.38 eV. This energy gap increases after the thermal annealing process except for the film deposited at η = 5.  相似文献   

3.
《Thin solid films》2002,402(1-2):154-161
Silicon oxynitride films were deposited by plasma-enhanced chemical vapor deposition at low temperatures using nitrous oxide (N2O) and silane (SiH4) as gas precursors. The influence of the N2O/SiH4 flow ratio (varied from 0.25 up to 5) and the thickness of the films on the optical and structural properties of the material was analyzed. The films were characterized by ellipsometry, Fourier-transform infrared spectroscopy, Rutherford backscattering spectroscopy and optical absorption. Two distinct types of material were obtained, silicon dioxide-like oxynitrides SiO2−xNx and silicon-rich oxynitrides SiOxNy (x+y<2). The results demonstrate that in silicon dioxide-like material, the nitrogen concentration can be adequately controlled (within the range 0–15 at.%) with total hydrogen incorporation below 5 at.% and no appreciable SiH bonds. It is also shown that the composition remains uniform through the entire thickness of the films. Furthermore, a linear relation between the refractive index and the nitrogen concentration is observed, which makes this material very attractive for optoelectronic applications. On the other hand, silicon-rich material is similar to amorphous silicon, and presents an increasing concentration of SiH bonds, increasing refractive index and decreasing optical gap, which makes it promising for applications in light-emitting devices.  相似文献   

4.
In this work, Silicon Carbon Nitride (Si-C-N) thin films were deposited by Hot Wire Chemical Vapour Deposition (HWCVD) technique from a gas mixture of silane (SiH4), methane (CH4) and nitrogen (N2). Six sets of Si-C-N thin films were produced and studied. The component gas flow rate ratio (SiH4:CH4:N2) was kept constant for all film samples. The total gas flow-rate (SiH4 + CH4 + N2) was changed for each set of films resulting in different total gas pressure which represented the deposition pressure for each of these films ranging from 40 to 100 Pa. The effects of deposition pressure on the chemical bonding, elemental composition and optical properties of the Si-C-N were studied using Fourier transform infrared (FTIR) spectroscopy, Auger Electron Spectroscopy (AES) and optical transmission spectroscopy respectively. This work shows that the films are silicon rich and multi-phase in structure showing significant presence of hydrogenated amorphous silicon (a-Si:H) phase, amorphous silicon carbide (a-SiC), and amorphous silicon nitride (a-SiN) phases with Si-C being the most dominant. Below 85 Pa, carbon content is low, and the films are more a-Si:H like. At 85 Pa and above, the films become more Si-C like as carbon content is much higher and carbon incorporation influences the optical properties of the films. The properties clearly indicated that the films underwent a transition between two dominant phases and were dependent on pressure.  相似文献   

5.
Nanocrystalline Si-rich silicon oxide films were deposited using plasma enhanced chemical vapor deposition technique with the mixture of silane (SiH4), nitrous oxide (N2O) and hydrogen (H2) as gas source on quartz glass substrate at the substrate temperature of 300 °C. The effect of the ratio N2O/SiH4 on the oxidation, microstructures and photoluminescence (PL) of the as-deposited Si-rich silicon oxide films was investigated with FTIR, XRD and HRTEM. The results reveal that with the increasing ratio of N2O/SiH4, more amounts of oxygen are incorporated in the as-deposited films and more nanosized silicon particles are embedded in the films, forming nanocrystalline Si-rich silicon oxide films. The quantum confinement effect or the cooperation of quantum confinement and luminescence center results in the nanocrystalline Si-rich silicon oxide films of higher PL intensity.  相似文献   

6.
Silicon oxide (SiOx) thin film was deposited onto fluorine-doped tin oxide (FTO) and silicon wafer substrate by the reduction of an aqueous solution containing ammonium hexafluorosilicate, dimethylamine borane and cetyltrimethylammonium bromide (CTAB). Characterization of the films by X-ray photoelectron spectroscopic depth profile and infrared spectroscopy proved that the addition of CTAB into the film enhanced the aggregation of silica particles and the growth rate. The SiOx films (resistivity: 3.2 × 108 Ω cm) remarkably improved the rectification properties of FTO/SiOx/poly(3,4-ethylenedioxythiophene) derivative diodes. A rectification mechanism based on conduction of electron and ions was investigated.  相似文献   

7.
Silicon nitride thin films for use as passivation layers in solar cells and organic electronics or as gate dielectrics in thin-film transistors were deposited by the Hot-wire chemical vapor deposition technique at a high deposition rate (1-3 ?/s) and at low substrate temperature. Films were deposited using NH3/SiH4 flow rate ratios between 1 and 70 and substrate temperatures of 100 °C and 250 °C. For NH3/SiH4 ratios between 40 and 70, highly transparent (T ~ 90%), dense films (2.56-2.74 g/cm3) with good dielectric properties and refractive index between 1.93 and 2.08 were deposited on glass substrates. Etch rates in BHF of 2.7 ?/s and < 0.5 ?/s were obtained for films deposited at 100 °C and 250 °C, respectively. Films deposited at both substrate temperatures showed electrical conductivity ~ 10− 14 Ω− 1 cm− 1 and breakdown fields > 10 MV cm− 1.  相似文献   

8.
Bottom gate microcrystalline silicon thin film transistors (μc-Si TFT) have been realized with two types of films: μc-Si(1) and μc-Si(2) with crystalline fraction of 80% and close to 100% respectively. On these TFTs we applied two types of passivation (SiNx and resist). μc-Si TFTs with resist as a passivation layer present a low leakage current of about 2.10− 12 A for VG = − 10 and VD = 0.1V an ON to OFF current ratio of 106, a threshold voltage of 7 V, a linear mobility of 0.1 cm2/V s, and a sub-threshold voltage of 0.9 V/dec. Microcrystalline silicon TFTs with SiNx as a passivation present a new phenomenon: a parasitic current for negative gate voltage (− 15 V) causes a bump and changes the shape of the sub-threshold region. This excess current can be explained by and oxygen contamination at the back interface.  相似文献   

9.
Silicon dioxide films (SiO2), deposited at room temperature by electron cyclotron resonance (ECR) plasma reactor from a gas phase combination of O2, SiH4 and He, present excellent structural and electrical properties. However, when fabricating field effect devices it is also crucial to minimize the defect density at the semiconductor/insulator interface. We show that the interface state density, investigated in Al/SiO2/Si MOS capacitors, can be substantially reduced performing post-deposition annealing. In particular we studied the effects of annealing temperature and time in different gas ambient: vacuum, nitrogen and forming gas (5% H2 + N2). We found that interface state passivation mainly occurs when thermal annealing is performed after Al-contact deposition and that it is quite insensitive to the annealing atmosphere. The present results clearly suggest that the hydrogen passivation mechanism is driven by the H-containing species present in the film and a possible mechanism to explain the results is proposed.  相似文献   

10.
C.Y. Ma  Q.Y. Zhang 《Vacuum》2008,82(8):847-851
In this work, the interfacial layer growth for both as-deposited and annealed ZrO2 thin films on silicon is analyzed in detail by the high-resolution cross-sectional transmission electron microscope and spectroscopic ellipsometry. For as-deposited ZrO2/SiO2/Si, the thickness of a SiO2-like layer at the silicon interface was found to depend on the oxygen partial pressure during deposition. At oxygen partial pressure ratio of above 50% the interfacial silicon oxide thickness increased through oxygen diffusion through the ZrO2 film and silicon consumption at the interface. At oxygen partial pressure ratio in the range 7-50%, the visible growth of interfacial silicon oxide layer was not present. The interfacial layer for ZrO2/Si with optimal partial pressure (15%) during annealing at 600 °C was found to be the two-layer structure composed of the ZrSixOy overlayer and the SiOx downlayer. The formation of the interfacial layer is well accounted for diffusion mechanisms involving Si indiffusion and grain-boundary diffusion.  相似文献   

11.
Silicon nitride (SiNx) and silicon oxynitride (SiOxNy) films have been formed by catalytic chemical vapor deposition (Cat-CVD) method using hexamethyldisilazane (HMDS). Addition of NH3 gas and increase in gas pressure can prevent carbonization of tungsten (W) catalyzer. These SiOxNy films have high gas barrier ability compare to the case of SiOxNy films using SiH4 and thus are expected for novel sealing films.  相似文献   

12.
Metal-oxide-semiconductor characteristics of thermally grown nitrided SiO2 (9-11.5 nm) on n-type 4H-SiC at 1175 °C in various N2O ambient (1, 10, and 50% N2O mixed with 99, 90, and 50% of high purity N2 gas, respectively) have been investigated. The chemical composition of oxide-semiconductor interface has been evaluated by X-ray photoelectron spectroscopy. The interfacial layer consists of either silicon oxynitride, silicon nitride, and/or silicon oxide phases that may be segregated or mixed in a single layer. Depending on the percentage of N2O being used, the stoichiometry may vary accordingly. The lowest leakage current density is recorded for thin film oxide grown in10% N2O and it is limited to an applied electric field of not more than 7 MV/cm. This is attributed to the lowest density value of deep oxide trap in this sample if compared with others. The highest dielectric breakdown field has been obtained for thin film oxide grown in 50% N2O as this sample is having the lowest interface trap density and negative effective oxide charge. The origin of these charges is explained in the text.  相似文献   

13.
The meso-porous silicon (PS) has become an interesting material owing to its potential applications in many fields, including optoelectronics and photovoltaics. PS layers were grown on the front surface of the n+ emitter of n+-p mono-crystalline Silicon junction. The thickness and the porosity of the PS layer were determined by an ellipsometer, as a function of time duration of anodization, and the variation law of the PS growth kinetics is established. Single layers PS antireflection coating (ARC) achieved around 9% of effective reflectivity in the wavelength range between 400 and 1000 nm on junction n+-p solar cells. To reduce the reflectivity and improve the stability and passivation properties of PS ARC, silicon oxide layers were deposited by PECVD on PS ARC. SiOx layers of thickness of 105 nm combined with PS layer led to 3.8% effective reflectivity. Voc measurements were carried out on all the samples by suns-Voc method and showed an improvement of the quality of the passivation brought by the oxide layer. Using the experimental reflectivity results and taking into account the passivation quality of the samples, the PC1D simulations predict an enhancement of the photogenerated current exceeding 44%.  相似文献   

14.
B-doped hydrogenated amorphous silicon carbon (a-Si1−xCx:H) films have been prepared by hot-wire CVD (HWCVD) using SiH3CH3 as the carbon source gas. The optical bandgap energy and dark conductivity of the film are about 1.94 eV and 2 × 10− 9 S/cm, respectively. Using this film as a window layer, we have demonstrated the fabrication of solar cells having a structure of the textured SnO2(Asahi-U)/a-Si1−xCx:H(p)/a-Si1−xCx:H(buffer)/a-Si:H(i)/μc-Si:H(n)/Al. The conversion efficiency of the cell is found to be 7.0%.  相似文献   

15.
Jhantu K. Saha 《Thin solid films》2007,515(9):4098-4104
The plasma parameter for fast deposition of highly crystallized microcrystalline silicon (μc-Si) films with low defect density is presented using the high-density and low-temperature microwave plasma (MWP) of a SiH4-H2 mixture. A very high deposition rate of ∼ 65 Å/s has been achieved at SiH4 concentration of 67% diluted in H2 with high Raman crystallinity Ic / Iα > 3 and low defect density of 1-2 × 1016 cm− 3 by adjusting the plasma condition. Contrary to the conventional rf plasma, the defect density of the μc-Si films strongly depend on substrate temperature Ts and it increased with increasing Ts despite Ts below 300 °C, suggesting that the real surface temperature at the growing surface was higher than the monitored value. The sufficient supply of deposition precursors such as SiH3 at the growth surface under an appropriate ion bombardment was effective for the fast deposition of highly crystallized μc-Si films as well as the suppression of the incubation and transition layers at the initial growth stage.  相似文献   

16.
Wanyu Ding  Jun Xu  Xinlu Deng 《Thin solid films》2010,518(8):2077-5323
Hydrogen-free amorphous silicon nitride (SiNx) films were deposited at room temperature by microwave electron cyclotron resonance plasma-enhanced unbalance magnetron sputtering. Varying the N2 flow rate, SiNx films with different properties were obtained. Characterization by Fourier-transform infrared spectrometry revealed the presence of Si-N and Si-O bonds in the films. Growth rates from 1.0 to 4.8 nm/min were determined by surface profiler. Optical emission spectroscopy showed the N element in plasma mainly existed as N+ species and N2+ species with 2 and 20 sccm N2 flow rate, respectively. With these results, the chemical composition and the mechanical properties of SiNx films strongly depended on the state of N element in plasma, which in turn was controlled by N2 flow rate. Finally, the film deposited with 2 sccm N2 flow rate showed no visible marks after immersed in etchant [6.7% Ce(NH4)2(NO3)6 and 93.3% H2O by weight] for 22 h and wear test for 20 min, respectively.  相似文献   

17.
Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m2/day and was decreased after depositing the silicon oxide (SiOx) coatings. The minimum WVTR, 0.47 g/m2/day, was observed at Ar and O2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm− 1 and Si-O-Si stretching at 1000-1150 cm− 1 varied depending on the Ar and O2 flow rates. The contact angle of the SiOx coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiOx morphology and the water vapor barrier performance with the Ar and O2 flow rates. The roughness of the deposited coatings increased when either the O2 or Ar flow rate was increased.  相似文献   

18.
Silicon carbide (SiC) thin films were prepared by hot-wire chemical vapor deposition from SiH4/CH4/H2 and their structural properties were investigated by X-ray diffraction, Fourier transform infrared absorption and Raman scattering spectroscopies. At 2 Torr, Si-crystallite-embedded amorphous SiC (a-Si1 − xCx:H) grew at filament temperatures (Tf) below 1600 °C and nanocrystalline cubic SiC (nc-3C-SiC:H) grew above Tf = 1700 °C. On the other hand, At 4 Torr, a-Si1 − xCx:H grew at Tf = 1400 °C and nc-3C-SiC grew above Tf = 1600 °C. When the intakes of Si and C atoms into the film per unit time are almost the same and H radicals with a high density are generated, which takes place at high Tf, nc-3C-SiC grows. On the other hand, at low Tf the intake of Si atoms is larger than that of C atoms and, consequently, Si-rich a-Si1 − xCx:H or Si-crystallite-embedded a-Si1 − xCx:H grow.  相似文献   

19.
ZrO2 films were grown on p-type Si(100) using plasma assisted pulsed laser deposition and the electrical characteristics of the ZrO2 dielectrics incorporated in metal oxide silicon (MOS) capacitors were studied in combination with their structural and optical properties. The ZrO2 dielectric layers are of polycrystalline structure with a monoclinic phase and show good interfacial properties without obvious SiOx interface. The electrical performance of the capacitors exhibits typical MOS-type capacitance-voltage (C-V) and leakage current density-voltage (J-V) characteristics. Thermal annealing of the ZrO2 dielectrics results in an improvement in C-V and J-V characteristics and a reduction in C-V hysteresis without obvious introduction of leakage paths for the fabricated MOS capacitors. The dielectric constant was calculated to be 15.4 and the leakage current density was measured to be 6.7 × 10− 6 A/cm2 at a gate voltage of + 1.0 V for 900 °C annealed ZrO2 dielectric layers with an equivalent oxide thickness of 5.2 nm.  相似文献   

20.
Low refractive index silicon oxide films were deposited using atmospheric-pressure He/SiH4/CO2 plasma excited by a 150-MHz very high-frequency power. Significant increase in deposition rate at room temperature could prevent the formation of dense SiO2 network, decreasing refractive index of the resulting film effectively. As a result, a silicon oxide film with the lowest refractive index, n = 1.24 at 632.8 nm, was obtained with a very high deposition rate of 235 nm/s. The reflectance and transmittance spectra showed that the low refractive index film functioned as a quarter-wave anti-reflection coating of a glass substrate.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号