首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Si nanocrystals were formed by using a Au nanoscale island etching mask. A high-resolution transmission electron microscopy image showed that the Si nanocrystals were created on a SiOx layer, and the luminescence peak related to Si nanocrystals was observed in the cathodoluminescence spectrum. Capacitance-voltage measurements demonstrate a metal-insulator-semiconductor behavior with a flatband voltage shift for the Al/SiO2/nanocrystalline Si/SiO2/p-Si structures, indicative of the existence of the Si nanocrystals embedded into the SiOx layer. These results indicate that Si nanocrystals embedded into the SiOx layer can be formed by using a Au island etching mask.  相似文献   

2.
An estimate is made of the effective thickness of the resist mask for delineating a pattern into a processed film with minimum dimensional changes during non-reactive ion etching. The selectivity of the etching process, the thickness of the processed film, the evolution of the mask edge profile and the redeposition of the sputtered material are taken into account. In accordance with this estimate, the technological processes of the non-reactive r.f. ion etching of photmasks of Fe2O3, chromium and CrOx/Cr/CrOx were investigated using a contact-printed AZ 1350 resist mask. The experimental results thus obtained are interpreted by a model representation of the etching profile and are illustrated by scanning electron microscopy photographs. The conclusion drawn is that non-reactive r.f. ion etching in argon (sputter etching) is a suitable method for the microstructuring of photomasks with micron and submicron dimensions.  相似文献   

3.
Inductively coupled plasma reactive ion etching of CoZrNb magnetic thin films was studied using a TiN hard mask in a Cl2/O2/Ar gas mix. The etch rates of CoZrNb films and TiN hard mask gradually decreased with increasing Cl2 or O2 gas concentrations. When O2 gas was added in the Cl2/Ar gas mix, the etch rate of TiN hard mask was suppressed effectively so that the etch selectivity of CoZrNb film to TiN hard mask was enhanced. The addition of O2 into the gas mix also led to the anisotropic etching of the CoZrNb films and it was confirmed by Auger electron spectroscopy that there were no redeposited materials on the sidewall of the etched films. Highly anisotropic etching of CoZrNb films was achieved at room temperature under the optimized etching conditions.  相似文献   

4.
We report on a successful fabrication of silicon-based single-electron transistors (SETs) with low RC time constant and their applications to complementary logic cells and SET/field-effect transistor (FET) hybrid integrated circuit. The SETs were fabricated on a silicon-on-insulator (SOI) structure by a pattern-dependent oxidation (PADOX) technique, combined with e-beam lithography. Drain conductances measured at 4.2 K approach large values of the order of microsiemens, exhibiting Coulomb oscillations with peak-to-valley current ratios /spl Gt/1000. Data analysis with a probable mechanism of PADOX yields their intrinsic speeds of /spl sim/ 2 THz, which is within an order of magnitude of the theoretical quantum limit. Incorporating these SETs as basic elements, in-plane side gate-controlled complementary logic cells and SET/FET hybrid integrated circuits were fabricated on an SOI chip. Such an in-plane structure is very efficient in the Si fabrication process, and the side gates adjacent to the electron island could easily control the phase of Coulomb oscillations. The input-output voltage transfer, characteristic of the logic cell, shows an inverting behavior where the output voltage gain is estimated to be about 1.2 at 4.2 K. The SET/FET hybrid integrated circuit consisting of one SET and three FETs yields a high-voltage gain and power amplification with a wide-range output window for driving the next circuit. The small SET input gate voltage of 30 mV is finally converted to 400 mV, corresponding to an amplification ratio of 13.  相似文献   

5.
A novel method of formation of uniform GaAs quantum dot (QD) structures, using selective area metalorganic vapour phase epitaxy (SA-MOVPE), and their application to single electron transistors (SETs) are demonstrated. The SiN x -coated substrates having a wire-like opening with three prominences are used. The wire-like opening is aligned in the [110] direction, which corresponds to channel region of SET. AlGaAs/GaAs modulation-doped heterostructures are grown on these substrates. Due to three prominences on the wire, the quasi-one-dimensional electron gas (Q-1DEG) channel, having a periodic variation in its width, are naturally formed. This leads to the formation of a quantum dot near the central prominence and two tunneling barriers beside the dot, which are connected to quantum wires.I DV G characteristics under constant source-drain bias condition show clear conductance oscilations near the pinch-off, and oscillations are observed up to 65 K.I DV DS characteristics measured at 2·1 K show clear Coulomb blockade. The results indicate the formation of SET by SA-MOVPE. Using similar method, resistance-load single electron inverter circuit is also fabricated.  相似文献   

6.
The effects of single and double masks on focused ion beam (FIB) direct patterning and chlorine-based inductively coupled plasma reactive ion etching (ICP-RIE) were studied in order to determine the influence of twice-deposited mask layers on the verticality of the side wall of silicon-based nanostructures. When a single mask was used as the etching mask, an inclined plane with a large side angle on the top area was formed. When a double mask was used, the first mask layer of chromium (Cr) was deposited by RF (radio frequency) magnetron sputtering and then directly patterned by FIB. Then, the secondary mask layer of SiO2, which was deposited to protect the side wall in order to retard etching and prevent the formation of an inclined plane, was deposited by RF magnetron sputtering. However, the SiO2 on the top and bottom of the nanostructure was removed through anisotropic etching by ICP-RIE, and only SiO2 on the side wall was retained. The experimental results show that the SiO2 layer left on the side wall as an etching barrier can effectively maintain the verticality of the nanostructure. The measurement results show that the verticality and aspect ratio of the nanostructure are 90.8° and 5.08 (depth: 310 nm, width: 61 nm), respectively.  相似文献   

7.
This work reports on the latest results of etching of different Al x Ga1?x N/GaN heterostructures in relation to percentage composition of aluminum. The etching processes were carried out in a reactive ion etching (RIE) system using the mixture of BCl3/Cl2/Ar. The topography of the heterostructures surfaces and the slope were controlled using atomic force microsopy (AFM) technique. The photoluminescence spectra were used to determine the surface damage and to calculate the Al content in AlGaN/GaN heterostructures commonly used for high electron mobility transistors (HEMTs) fabrication.  相似文献   

8.
Mahapatra S  Büch H  Simmons MY 《Nano letters》2011,11(10):4376-4381
Real-time sensing of (spin-dependent) single-electron tunneling is fundamental to electrical readout of qubit states in spin quantum computing. Here, we demonstrate the feasibility of detecting such single-electron tunneling events using an atomically planar charge sensing layout, which can be readily integrated in scalable quantum computing architectures with phosphorus-donor-based spin qubits in silicon (Si:P). Using scanning tunneling microscopy (STM) lithography on a Si(001) surface, we patterned a single-electron transistor (SET), both tunnel and electrostatically coupled to a coplanar ultrasmall quantum dot, the latter consisting of approximately four P donors. Charge transitions of the quantum dot could be detected both in time-averaged and single-shot current response of the SET. Single electron tunneling between the quantum dot and the SET island on a time-scale (τ ~ ms) two-orders-of-magnitude faster than the spin-lattice relaxation time of a P donor in Si makes this device geometry suitable for projective readout of Si:P spin qubits. Crucial to scalability is the ability to reproducibly achieve sufficient electron tunnel rates and charge sensitivity of the SET. The inherent atomic-scale control of STM lithography bodes extremely well to precisely optimize both of these parameters.  相似文献   

9.
We observed a negative differential resistance (NDR) along with single-electron tunneling (SET) in the electron transport of electromigrated break junctions with metal-free tetraphenylporphyrin (H2BSTBPP) at a temperature of 11 K. The NDR strongly depended on the applied gate voltages, and appeared only in the electron tunneling region of the Coulomb diamond. We could explain the mechanism of this new type of electron transport by a model assuming a molecular Coulomb island and local density of states of the source and the drain electrodes.  相似文献   

10.
We report a Coulomb blockade induced negative differential resistance (NDR) effect at room temperature in a self-assembly Si quantum dots (Si-QDs) array (Al/SiO2/Si-QDs/SiO2/p-Si), which is fabricated in a plasma enhanced chemical vapor deposition system by using layer-by-layer deposition and in-situ plasma oxidation techniques. Obvious NDR effects are directly observed in the current-voltage characteristics, while corresponding capacitance peaks are also identified at the same voltage positions in the capacitance-voltage characteristics. The NDR effect in dot array, arising from the Coulomb blockade effect in the nanometer-sized Si-QDs, exhibits distinctive scan-rate and scan-direction dependences and differs remarkably from that in the quantum well structure in the formation mechanism. Better understanding of the observed NDR effect in Si-QDs array is obtained in a master-equation-based numerical model, where both the scan-rate and scan-direction dependences are well explained.  相似文献   

11.
We have investigated the nanofabrication for glass-like carbon molds with electron cyclotron resonance oxygen ion beam etching technologies using polysiloxane [-R2SiO-]n as an electron beam mask and a room-temperature imprint resist material. The maximum etching selectivity of polysiloxane film against glass-like carbon was 27, which was obtained with ion energy of 400 eV. It was found that the optimum etching time to fabricate dots of 500 nm in height was 5 min, which was explored according to the computer simulation. The glass-like carbon molds with square pole and cylinder dots were fabricated with 500 nm in width and diameter, respectively. The optimum imprinting pressure and its depth obtained after the press for 5 min were 0.5 MPa and 0.5 μm, respectively. We carried out the room-temperature nanoimprint lithography process using glass-like carbon molds. The resulting width of imprinted polysiloxane patterns was obtained in good agreement with that of the mold.  相似文献   

12.
We fabricated silicon (100) membranes of 3 mm in diameter on the surface of silicon-on-insulator (SOI) substrates and investigated the characteristics of the membranes. The handle layer of one SOI substrate was etched using deep reactive ion etching process with the buried oxide (BOX) layer that remained together with the device layer. The BOX layer of the other SOI substrate was removed using C4F8-based plasma etching after the handle layer etching. The surfaces of both silicon (100) membranes were observed using the scanning white light interferometer system at room temperature. Both silicon (100) membranes have dome-like deformations. The silicon (100) membranes are effectively flattened by etching the BOX layer under the device layer. Both silicon (100) membranes were cooled from room temperature to 4 K by a Gifford–McMahon refrigerator. Wrinkles appeared on the surfaces of both silicon (100) membranes when the temperature dropped to about 200 K. However, the wrinkles disappeared below about 180 K. This phenomenon indicates the wrinkles at low temperature would depend on the properties of the silicon (100) of the device layers and independent of the properties of the BOX layers under the silicon (100) membranes.  相似文献   

13.
Herein, a new way of the surface modification of Ti6Al7Nb alloy in fluorine plasma is presented using the mask made of stainless steel and its influence on the selected properties, including chemical composition, topography, and tribological ones. Depending on the etching process parameters, different characteristics of the surfaces are obtained. The higher is the value of the negative bias, the less fluorine concentration on the etched surface and higher etching rates. Etching using the SF6 gas shows bigger etching rates in comparison to the etching using the CF4 gas. Chemical composition of the modified surfaces shows greater impact on tribological characteristics than topography parameters. The lowest wear rate is observed for the sample modified using the CF4 gas.  相似文献   

14.
We present an approach, and its implementation in a computer program, for the three-dimensional (3-D) simulation of realistic single electron transistor (SET) structures, in which subregions with different degrees of quantum confinement are simultaneously considered. The proposed approach is based on the self-consistent solution of the many body Schrodinger equation with density functional theory and on the computation of the conductance of tunnel constrictions through the solution of the 3-D Schrodinger equation with open boundary conditions. We have developed an efficient code (ViDES) based on such an approach. As examples of addressable SET structures, we present the simulation of a SET, one defined by metal gates on an AlGaAs/GaAs heterostructures, and of a SET defined by etching and oxidation on the silicon-on-insulator material system. Since SETs represent prototypical nanoscale devices, the code may be a valuable tool for the investigation and optimization of a broad range of nanoelectronic solid-state devices.  相似文献   

15.
We present radiative energy loss formulas for beamstrahlung from colliding electron-positron beams which experience negligible disruption, as determined by numerical simulation. Our computer code uses the correct quantum mechanical photon number spectrum for synchrotron radiation emitted by relativistic electrons to simulate with macroparticles the discrete nature of photon emission. For Gaussian beams with small average electron energy loss, we determine energy loss formulas valid for all radiation regimes from classical to extreme quantum mechanical which depend on only two beam parameters, a quantum radiation parameter ϒ0 and a beam energy per unit length, Γ0.  相似文献   

16.
This paper reports the formation of nano-scale ring-shaped fluorocarbon macromolecules during silicon dioxide SiO2 reactive ion etching (RIE). This nanostructure was created on a SiO2 substrate with poly methyl methacrylate (PMMA) mask during the RIE process, using trifluoromethane (CHF3) and oxygen etchants. Variation in etching time results in the creation of square, double concentric, and flower-shaped nano-rings around SiO2 micro-pits. In addition, increasing the etching times leads to an increase in ring width. The formation of these nano-rings is shown by a deposition of passivation layer, consisting of silicon oxide, SixOy and fluorocarbon, CxFy, on sidewalls during SiO2 etching in fluorocarbon plasma. Field Emission Scanning Electron Microscopy (FESEM) and Energy-dispersive X-ray (EDX) were utilized to investigate the morphology and the structure of the nano-rings. Results show that the flower-shaped nano-rings were created on the surface of silicon for 8 min of etching time. These fluorocarbon nano-rings could be used as nano-scale templates.  相似文献   

17.
类金刚石薄膜的反应离子刻蚀   总被引:1,自引:1,他引:0  
为了刻蚀出图形完整、侧壁陡直、失真度小、独立的类金刚石薄膜微器件,反应离子刻蚀是一种有效地刻蚀方法。研究了氧气与氩气的混合气体进行类金刚石薄膜刻蚀的主要工艺参数(刻蚀时间、有无掩膜、氩氧体积混合比、负偏压)。研究结果表明:在相同条件下,刻蚀速率随刻蚀时间变化不大;有无掩膜对刻蚀速率无明显影响;流量一定时,刻蚀速率随氩氧体积比的增大而降低,随负偏压的增大先增大后减小。实验得到最佳刻蚀条件,在此条件下,刻蚀出图形完整、侧壁陡直、失真度小的微器件,并成功制备出"独立"的微齿轮,进行了组装。  相似文献   

18.
In the presented work, the possibility of fabrication of ZnO single- and multi-nanofiber structures using a standard microelectronic device technology were studied. An innovative fabrication step, namely, selective wet chemical nanofibers etching through a photoresist mask, was used to define the active area, along with mesa etch in the Si/SiO2 substrate. Test structures in the configuration of a resistor and Schottky diode with chemically active electrospun ZnO nanofibers were prepared. The Ti/Au ohmic and Pt Schottky contacts were fabricated using a lift-off photolithography process. Optical and scanning electron microscopy studies were done to characterize ZnO nanofibers and topography of contacts. The measurements made for electrical characterization showed linear IV dependence and saturation of the current for single ZnO nanofiber structures.  相似文献   

19.
《Thin solid films》1986,136(1):123-127
The process of CF4 plasma etching of amorphous GeSx films (1.0 ⩽x⩽ 4.0) was investigated. A negative effect of photostimulated selective etching was established, the GeS1.5 composition showing optimum parameters. Some possibilities for improving selective etching using ion implantation were found.  相似文献   

20.
Arrays of microhole patterns are fabricated on the surfaces of diamond films through a physical mask in a dual microwave electron cyclotron resonance/radio-frequency oxygen plasma. It is found that nanotips with high aspect ratio form in the microholes, and then through-holes are fabricated with a further increase of etching time. Optical emission spectroscopy was employed to calculate oxygen atom density and evaluate the variation of the plasma excitation temperature. The plasma excitation temperature and the O atom density present significant dependences on the voltage of rf bias Vb at a high frequency of 13.56 MHz, suggesting that the application of the rf bias not only strengthens ion bombardment on the material surface, but also induces the variations of the bulk plasmas including the increase of O atom density. Whereas, both the plasma excitation temperature and the O atom density remain nearly unchanged with Vb under the bias frequency of 400 kHz. The etching process depends on the rf-bias frequency and voltages, which are correlated with the measured plasma characteristics.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号