首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 187 毫秒
1.
Single (200 keV) and multiple energy Fe implants in n-type and Ti implants in p-type material were performed in In0.52Al0.48As at both room temperature and 200°C. For the Fe implants, the secondary ion mass spectrometry profiles showed a severe out-diffusion for all rapid thermal annealing schemes used, independent of the implantation temperature. The Fe implant peaks observed after annealing, at 0.8Rp, Rp+ΔRp and 2Rp (where Rp and ΔRp are range and straggle, respectively) depth locations in other In-based compounds like InP and InGaAs were not observed here. On the contrary, Ti implants showed only a slight in- and out-diffusion for both room temperature and 200°C implants as in the case of InP and InGaAs. The Rutherford backscattering measurements on the annealed samples implanted at 200°C showed a crystal quality similar to that of the virgin material. The resistivity of all the samples after annealing was higher than 106 Ω-cm.  相似文献   

2.
From emissometry measurements in lightly doped Si at elevated temperatures, we have observed an anomalous absorption band in the wavelength range of 1–5 μm. The wavelength at which the band peaks, λ≈2.3 μm, shows a negligible dependence on temperature while the peak intensity increases with temperature presumably as a result of the increasing intrinsic carrier concentration. Spitzer and Fan reported a similar absorption band in direct absorption measurements at room temperature for n-type Si with extrinsic electron concentrations of 1014 to 1019cm−3. No such structure was found in extrinsic p-type Si. Spitzer and Fan were unable to identify the mechanism for this anomalous absorption. In both the experiments, this absorption of free electrons is due to intraband transitions in the conduction band from the Δ1 conduction band edge across an energy gap of E ∼ 0.5 eV to a higher lying Δ2′ conduction band.  相似文献   

3.
Electrical activation studies of Si-implanted Al x Ga1−x N with an Al mole fraction of 11% to 51% have been carried out as a function of ion dose and annealing temperature. The Al x Ga1−x N samples were implanted at room temperature with Si ions at 200 keV in doses ranging from 1 × 1014 cm−2 to 1 × 1015 cm−2, and subsequently annealed from 1100°C to 1350°C for 20 min in a nitrogen environment. The maximum electrical activation efficiencies for the Al x Ga1−x N samples with an Al mole fraction less than 40% were obtained for samples implanted with the highest Si dose of 1 × 1015 cm−2. On the other hand, for the Al x Ga1−x N samples with an Al mole fraction more than 40%, nearly perfect activation efficiencies of 99% and 100% were obtained for the samples implanted with the lowest Si dose of 1 × 1014 cm−2. The mobility of the Si-implanted Al x Ga1−x N samples increased with increasing annealing temperature in spite of the increased number of ionized donors and thus increased impurity scattering, indicating that a greater amount of lattice damage is being repaired with each successive increase in annealing temperature. These results provide suitable annealing conditions for Si-implanted Al x Ga1−x N-based devices with an Al mole fraction from 11% to 51%.  相似文献   

4.
This study investigates discrepancies regarding cyclic softening of Cu processed by severe plastic deformation (SPD). All samples softened if the microhardnesses before and after fatiguing are compared. However, the effect decreases if the strain amplitude is small, Δεp <1 × 10−3). Samples with equiaxed subgrains were more resistant to softening and thermal recovery. All samples had “persistent” shear bands except those tested at the highest amplitudes, Δεp >1 × 10−2. Cu processed by SPD exhibits an enhanced fatigue life at low amplitudes. However, low thermal stability, potential for softening, and poor low-cycle properties discredit this advantage.  相似文献   

5.
Aluminum (Al) and boron (B) ion implantations at room temperature into n-type 6H-SiC epilayers have been investigated. Rutherford backscattering spectroscopy (RBS) channeling measurements revealed larger lattice damage in Al+ implantation at a given total implantation dose. A nearly perfect electrical activation ratio (>90%) could be attained by high-temperature annealing at 1600°C for Al+ and 1700°C for B+ implantations. Mesa pn junction diodes formed by either Al+ or B+ implantation with a 1×1014 cm−2 dose exhibited high blocking voltages of 950∼1070 V, which are 80∼90% of the ideal value predicted for the diode structure. The forward current can clearly be divided into two components of diffusion and recombination currents. B+-implanted diodes showed higher breakdown voltage on average but poor forward conduction. Comparison of the performance of Al+ and B+-implanted diodes is discussed.  相似文献   

6.
The ESR-linewidth of a-Si consists of a temperature-independent part ΔHpp(O) and a temperature-dependent contribution 5Hpp(T), the latter being closely related to the hopping conductivity. δHpp(O) is to a large part determined by a g-value spectrum as can be concluded from measurements at different magnetic fields Ho. Additionally in some cases exchange interaction is involved. Annealing of glow-discharge a-Si and the influence of hydrogen effusion on the ESR-spectrum is investigated. In the case of boron-doped a-Si a new line with g = 2.0038 can be resolved which is ascribed to a center with a dangling bond on a silicon atom with one Si-H bond.  相似文献   

7.
We report the results of capacitance-voltage (C-V) and Deep Level Transient Spectroscopy (DLTS) measurements performed upon a Ga0.47In0.53As/InP quantum well structure. At room temperature, a conduction-band offset ΔEc=(200±10)meV and charge densities σI=±(3±1)*1011 times the electronic charge per cm2 have been measured from C-V experiments. At lower temperature (T≤150K) we have observed an important decrease of the band-offset, considerably larger than a pure thermal effect. We have shown that the explanation lies in the presence of a high concentration of deep traps located at the well-barrier interfaces. Two species A and B have been detected through DLTS experiments with activation energies EtA=90 meV and EtB=195 meV, respectively. The filling of these trap levels at low temperature lowers the band offset from 200 to 120 meV, owing to band repulsion effects.  相似文献   

8.
Low-temperature (LT) growth of In0.47Ga0.53P was carried out in the temperature range from 200 to 260°C by gas source molecular beam epitaxy using solid Ga and In and precracked PH3. The Hall measurements of the as-grown film showed a resistivity of ∼106 Ω-cm at room temperature whereas the annealed film (at 600°C for 1 h) had at least three orders of magnitude higher resistivity. The Hall measurements, also, indicated activation energies of ∼0.5 and 0.8 eV for the asgrown and annealed samples, respectively. Double-crystal x-ray diffraction showed that the LT-InGaP films had ∼47% In composition. The angular separation, Δθ, between the GaAs substrate and the as-grown LT-InGaP film on (004) reflection was increased by 20 arc-s after annealing. In order to better understand the annealing effect, a LT-InGaP film was grown on an InGaP film grown at 480°C. While annealing did not have any effect on the HT-InGaP peak position, the LT-InGaP peak was shifted toward the HT-InGaP peak, indicating a decrease in the LT-InGaP lattice parameter. Cross-sectional transmission electron microscopy indicates the presence of phase separation in LT-InGaP films, manifested in the form of a “precipitate-like” microstructure. The analytical scanning transmission electron microscopy analysis of the LT-InGaP film revealed a group-V nonstoichiometric deviation of ∼0.5 at.% P. To our knowledge, this is the first report about the growth and characterization of LT-InGaP films.  相似文献   

9.
We have studied the influence of surface treatment and annealing temperature on the specific contact resistance of Au/Ni ohmic contacts to p-GaN with hole concentrations in the range of 1016 cm−3 to 1018 cm−3. The sample with a hole concentration of 1 × 1018 cm−3, treated with the surface treatment HCl:H2O = 3:1 solution and annealed at 500°C in a 90% N2 and 10% O2 atmosphere, yielded the lowest specific contact resistance of ~4 × 10−5 Ω cm2 and ~2 × 10−7 Ω cm2 at room temperature and at 150°C, respectively. To investigate the roles of interdiffusion between layer interfaces and the formation of NiO and nickel gallides, we examined the metallization stacks before and after annealing using high-resolution x-ray diffraction. We conclude that the nickel-gallide formation and the deterioration of the NiO layer are together responsible for the large deviation in contact resistances observed for samples annealed at various temperatures.  相似文献   

10.
As part of a series of wafer bonding experiments, the exfoliation/blistering of ion-implanted Cd0.96Zn0.04Te substrates was investigated as a function of postimplantation annealing conditions. (211) Cd0.96Zn0.04Te samples were implanted either with hydrogen (5×1016 cm−2; 40–200 keV) or co-implanted with boron (1×1015 cm−2; 147 keV) and hydrogen (1–5×1016 cm−2; 40 keV) at intended implant temperatures of 253 K or 77 K. Silicon reference samples were simultaneously co-implanted. The change in the implant profile after annealing at low temperatures (<300°C) was monitored using high-resolution x-ray diffraction, atomic force microscopy (AFM), and optical microscopy. The samples implanted at the higher temperature did not show any evidence of blistering after annealing, although there was evidence of sample heating above 253 K during the implant. The samples implanted at 77 K blistered at temperatures ranging from 150°C to 300°C, depending on the hydrogen implant dose and the presence of the boron co-implant. The production of blisters under different implant and annealing conditions is consistent with nucleation of subsurface defects at lower temperature, followed by blistering/exfoliation at higher temperature. The surface roughness remained comparable to that of the as-implanted sample after the lower temperature anneal sequence, so this defect nucleation step is consistent with a wafer bond annealing step prior to exfoliation. Higher temperature anneals lead to exfoliation of all samples implanted at 77 K, although the blistering temperature (150–300°C) was a strong function of the implant conditions. The exfoliated layer thickness was 330 nm, in good agreement with the projected range. The “optimum” conditions based on our experimental data showed that implanting CdZnTe with H+ at 77 K and a dose of 5×1016/cm2 is compatible with developing high interfacial energy at the bonded interface during a low-temperature (150°C) anneal followed by layer exfoliation at higher (300°C) temperature.  相似文献   

11.
Quantum corrections for the conductivity due to the weak localization (WL) and the disorder-modified electron-electron interaction (EEI) are investigated for the high-mobility multilayer p-Ge/Ge1−x Sex heterostructures at T=(0.1–20) K in magnetic field B up to 1.5 T. Negative magnetoresistance with logarithmic dependence on T and linear in B 2 is observed for B⩾0.1 T. Such a behavior is attributed to the connection between the classical cyclotron motion and the EEI effect. The Hartree part of the interaction constant is estimated (F σ =0.44) and the WL and EEI contributions to the total quantum correction Δσ at B=0 are separated (Δσ WL≈0.3Δσ; Δσ ee ≈0.7Δ σ). Fiz. Tekh. Poluprovodn. 33, 1073–1075 (September 1999) This article was published in English in the original Russian journal. Reproduced here with stylistic changes by the Translation Editor.  相似文献   

12.
Te precipitates in CdZnTe have been characterized by x-ray diffraction at room and higher temperatures. From the x-ray results at room temperature, it has been confirmed that Te precipitates in CdZnTe have the same structural phase as observed in elemental Te under high pressure. The x-ray results at higher temperature indicate that Te precipitates melt around 440°C. CdZnTe samples containing Te precipitates have been annealed at temperatures below and above 440°C with thermal gradient of ∼70°C/cm. Results of the observation with infrared microscope before and after the annealings indicate distinct occurrence of thermomigration of Te precipitates in samples annealed at temperature above 440°C compared with ones annealed at temperature below 440°C. Thermomigration velocity obtained from these results is ∼50 μm/h. The average value for the effective diffusion coefficient of the metallic atoms in Te precipitates calculated by using the thermomigration velocity is ∼3 x 10−5 cm2/s.  相似文献   

13.
The effect of γ-ray radiation on the conductivity of low-resistivity (1022–104 Ω cm) and high-resistivity (105–107 Ω cm) CuGaSe2 single crystals has been studied in the temperature range 77–330 K. It is found that the resistivity of low-resistivity samples increases as the dose of γ-ray radiation is increased, while the resistivity of high-resistivity samples is practically independent of the radiation dose. It is assumed that a decrease in the conductivity of the low-resistivity samples occurs owing to scattering of free charge carriers at defects (charged centers) formed as a result of irradiation with γ-ray photons. It is found that the dose of the γ-ray irradiation does not affect the temperature dependence of resistivity in the low- and high-resistivity samples in the temperature range 77–300 K.  相似文献   

14.
Electrical activation studies were carried out on Si-implanted Al0.33Ga0.67N as a function of ion dose, annealing temperature, and annealing time. The samples were implanted at room temperature with Si ions at 200 keV in doses ranging from 1 × 1014 cm−2 to 1 × 1015 cm−2, and subsequently proximity-cap annealed from 1150°C to 1350°C for 20 min to 60 min in a nitrogen environment. One hundred percent electrical activation efficiency was obtained for Al0.33Ga0.67N samples implanted with a dose of 1 × 1015 cm−2 after annealing at either 1200°C for 40 min or at 1300°C for 20 min. The samples implanted with doses of 1 × 1014 cm−2 and 5 × 1014 cm−2 exhibited significant activations of 74% and 90% after annealing for 20 min at 1300°C and 1350°C, respectively. The mobility increased as the annealing temperature increased from 1150°C to 1350°C, showing peak mobilities of 80 cm2/V s, 64 cm2/V s, and 61 cm2/V s for doses of 1 × 1014 cm−2, 5 × 1014 cm−2, and 1 × 1015 cm−2, respectively. Temperature-dependent Hall-effect measurements showed that most of the implanted layers were degenerately doped. Cathodoluminescence measurements for all samples exhibited a sharp neutral donor-bound exciton peak at 4.08 eV, indicating excellent recovery of damage caused by ion implantation.  相似文献   

15.
Sn-3.5mass%Ag eutectic solder is selected as a candidate base alloy for replacing the eutectic Sn-Pb, and the effect of bismuth (2, 5, 10mass%) on the fatigue life of bulk Sn-3.5mass%Ag eutectic at room temperature has been studied over the total strain range from 0.3 to 3 percent in tension-tension mode. Fatigue life is defined as the number of cycles at which the load decreases to a half of the initial value. The fatigue life dramatically decreases with increasing contents of bismuth and adding this element over 2% makes fatigue life shorter than that of tin-lead eutectic alloy. Tensile strength of the alloy significantly increases with an increase in bismuth contents due to solid solution hardening (<5%Bi) or dispersion strengthning of fine bismuth particles, while ductility of this system dramatically decreases with increasing bismuth contents. Fatigue life of these alloys depends on ductility obtained by tensile test. The fatigue life of Bi containing Sn-3.5%Ag alloys can be described by, (Δεp/2D)·N f 0.59 =0.66 where Nf is fatigue life defined by number of cycles to one-half load reduction, Δεp is the plastic strain range for initial cycles, D is the ductility as measured by reduction in area.  相似文献   

16.
The electrical properties of C-implanted <100> GaAs have been studied following rapid thermal annealing at temperatures in the range from 750 to 950°C. This includes dopant profiling using differential Hall measurements. The maximum p-type activation efficiency was found to be a function of C-dose and annealing temperature, with the optimum annealing temperature varying from 900°C for C doses of 5 × 1013 cm−2 to 800°C for doses ≥5 × 1014cm−2. For low dose implants, the net p-type activation efficiency was as high as 75%; while for the highest dose implants, it dropped to as low as 0.5%. Moreover, for these high-dose samples, 5 × 1015 cm−2, the activation efficiency was found to decrease with increasing annealing temperature, for temperatures above ∼800°C, and the net hole concentration fell below that of samples implanted to lower doses. This issue is discussed in terms of the amphoteric doping behavior of C in GaAs. Hole mobilities showed little dependence on annealing temperature but decreased with increasing implant dose, ranging from ∼100 cm2/V·s for low dose implants, to ∼65 cm2/V·s for high dose samples. These mobility values are the same or higher than those for Be-, Zn-, or Cd-implanted GaAs.  相似文献   

17.
Dielectric ceramics based on the solid solution (1 − x)Bi0.5Na0.5TiO3 (BNT)-xCaTiO3 (CT) were synthesized by the conventional solid-state route. BNT with various contents of CT formed a complete solid solution and exhibited a rhombohedral structure. CT in this solid solution with BNT was observed to decrease the dielectric constant at higher temperatures and raise the dielectric constant at lower temperatures. On the other hand, decreased ferroelectricity was observed with increasing CT concentration, resulting in a downward shift of the depolarization temperature and a decrease of the dissipation factor. With the addition of Mn2+ to 0.86BNT-0.14CT, the temperature characteristics of capacitance were improved (−55°C to 250°C, ΔC/C 25°C ≤ ±15%). By doping with 1.5 wt.% Mn2+, the dielectric constant at room temperature reached over 900, with a dielectric loss of less than 1%.  相似文献   

18.
Ohmic contacts have been fabricated on p-type 6H-SiC using CrB2. Two hundred nanometer thick films were sputter-deposited on substrates of doping concentration 1.3×1019 cm−3 in a system with a base pressure of 3×10−7 Torr. Specific contact resistances were measured using the linear transmission line method, and the physical properties of the contacts were examined using Rutherford backscattering spectrometry, x-ray photoelectron spectroscopy, and transmission electron microscopy. The as-deposited CrB2 contacts exhibited rectifying characteristics and contained oxygen as a major contaminant. Ohmic behavior with linear current-voltage characteristics was observed following short anneals at 1100°C for 2 min at a pressure of 5×10−7 Torr. The oxygen in the CrB2 films was removed by the annealing process, and the lowest value of the specific contact resistance (rc) measured at room temperature was 8.2×10−5 Ω-cm2. Longer anneals at 1100°C for 3.5 h and 1200°C for 2 h reduced the room temperature values of r to 1.4×10−5 Ω-cm2. A thin reaction region has been identified at the CrB2/SiC interface; however, the interface remains essentially stable. Thermal stressing at 300°C in vacuum for over 2200 h produced only a slight increase in the specific contact resistance. The low value of the specific contact resistance and the excellent high temperature stability of the CrB2/SiC interface make this contact a candidate for high power/high temperature SiC device applications.  相似文献   

19.
n-Si single crystals produced by the floating zone method are studied. The concentration of electrons in the crystals is 6 × 1013 cm−3. The samples are irradiated with 25-MeV protons at 300 K. The irradiation dose is varied in the range (1.8–8.1) × 1012 cm−2. The measurements are carried out by means of the Hall technique in the range of temperatures T = 77−300 K. In samples irradiated with different proton doses, a sharp increase in the experimental effective Hall mobility μeff or a deep minimum in the dependence μeff(T) in the region of phonon scattering of electrons is observed immediately after irradiation or after aging of the samples, respectively. The observed effect is attributed to the formation of high-conductivity (metal-like) inclusions in the irradiated samples and to changes in the degree of screening of the inclusions by impurity-defect shells in relation to the irradiation dose, the time of natural aging, and the temperature of measurements. The impurity-defect shells are formed around metal-like inclusions during isochronal annealing or natural aging of the irradiated samples. It is suggested that metal-like inclusions formed in the n-Si crystals on irradiation with protons with the energy 25 MeV are atomic nanoclusters with an 80-nm radius.  相似文献   

20.
Electrical activation studies of Al x Ga1−x N (x = 0.45 and 0.51) implanted with Si for n-type conductivity have been made as a function of ion dose and anneal temperature. Silicon ions were implanted at 200 keV with doses ranging from 1 × 1014 cm−2 to 1 × 1015 cm−2 at room temperature. The samples were subsequently annealed from 1150°C to 1350°C for 20 min in a nitrogen environment. Nearly 100% electrical activation efficiency was successfully obtained for the Si-implanted Al0.45Ga0.55N samples after annealing at 1350°C for doses of 1 × 1014 cm−2 and 5 × 1014 cm−2 and at 1200°C for a dose of 1 × 1015 cm−2, and for the Al0.51Ga0.49N implanted with silicon doses of 1 × 1014 cm−2 and 5 × 1014 cm−2 after annealing at 1300°C. The highest room-temperature mobility obtained was 61 cm2/V s and 55 cm2/V s for the low-dose implanted Al0.45Ga0.55N and Al0.51Ga0.49N, respectively, after annealing at 1350°C for 20 min. These results show unprecedented activation efficiencies for Al x Ga1−x N with high Al mole fractions and provide suitable annealing conditions for Al x Ga1−x N-based device applications.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号